Pain Points At 7nm


Early work has begun on 7nm. Process technology has progressed to the point where IP and tools are being qualified. There is still a long way to go. But as companies begin engaging with foundries on this process node—[getentity id="22586" comment="TSMC"] is talking publicly about it, but [getentity id="22846" e_name="Intel"], [getentity id="22819" comment="GlobalFoundries"] and [getentity ... » read more

Multi-Beam Market Heats Up


The multi-beam e-beam mask writer business is heating up, as Intel and NuFlare have separately entered the emerging market. In one surprising move, [getentity id="22846" e_name="Intel"] is in the process of acquiring IMS Nanofabrication, a [gettech id="31058" t_name="multi-beam e-beam"] equipment vendor. And separately, e-beam giant NuFlare recently disclosed its new multi-beam mask writer t... » read more

7nm Lithography Choices


Chipmakers are ramping up their 16nm/14nm logic processes, with 10nm expected to move into early production later this year. Barring a major breakthrough in lithography, chipmakers are using today’s 193nm immersion and multiple patterning for both 16/14nm and 10nm. Now, chipmakers are focusing on the lithography options for 7nm. For this, they hope to use a combination of two technologies ... » read more

Inside Multi-Beam E-Beam Lithography


Semiconductor Engineering sat down with David Lam, chairman of Multibeam, a developer of multi-beam e-beam tools for direct-write lithography applications. Lam is also a venture capitalist. He founded Lam Research in 1980, but left as an employee in 1985. What follows are excerpts of that conversation. SE: How has the equipment business changed over the years and what’s the state of the i... » read more

More Choices, Less Certainty


The increasing cost of feature scaling is splintering the chip market, injecting uncertainty into a global supply chain that has been continually fine-tuned for decades. Those with deep enough resources and a clear need for density will likely follow Moore's Law, at least until 7nm. What comes after that will depend on a variety of factors ranging from available lithography—EUV, multi-bea... » read more

Finding Defects Is Getting Harder


Chipmakers are plotting out a strategy to scale the transistor to 10nm and beyond. Migrating to these nodes presents a number of challenges, but one issue is starting to gain more attention in the market—killer defects. Defects have always been problematic in the yield ramp for chip designs, but the ability to find them is becoming more difficult and expensive at each node. And it will be... » read more

5 Technologies To Watch


The industry is developing a dizzying array of new technologies. In fact, there are more new and innovative technologies than ever before. And the list is countless. At least from my vantage point, I have come up with my own list of the top five technologies to watch in 2015 and beyond. They are listed in alphabetical order. (See below). Obviously, there are more than just five technologi... » read more

Executive Insight: Elmar Platzgummer


Semiconductor Engineering sat down to discuss photomask and lithography trends with Elmar Platzgummer, chief executive of IMS Nanofabrication, an Austrian-based supplier of multi-beam e-beam tools for mask writing applications. SE: IMS has shipped the world’s first multi-beam e-beam system. Initially targeted for photomask writing, the tools are currently being tested in the field. How lon... » read more

EUV Still Matters…But Less


For all the chatter and occasional tirades about EUV missing its market window—it's true, EUV will have missed five market windows by 10nm—it still matters. And the sooner EUV hits the market with a viable power source, the better off the entire semiconductor manufacturing industry will be. But even EUV is a sideshow to some important shifts underway in technology. While technologically ... » read more

Executive Insight: Aki Fujimura


Semiconductor Engineering sat down to discuss photomask technology and lithography trends with Aki Fujimura, chairman and chief executive of D2S. SE: What are the big challenges that keep you awake at night? Fujimura: Mask technology, and the investments in the mask industry, are increasingly important. But so far, the investment dollars that the community is willing to spend on it isn’... » read more

Newer posts →