The Week In Review: Manufacturing

Who bought EUV tools?; fan-out consortium; KLA and Lam results; Applied’s tool.

popularity

For years, Altera’s sole foundry was TSMC. Then, not long ago, Altera selected Intel as its foundry partner for 14nm. TSMC still handles 20nm and above work for Altera. This quarter, Altera was supposed to select a foundry partner for 10nm. This week, Altera posted lackluster results in the quarter. Altera did not elaborate on its 10nm plans, nor did it discuss the Intel rumors. “Altera did not provide any color or clarification on its potential acquisition by Intel. In addition, the company noted that its decision on the 10nm finFET foundry partnership, which was expected to be made by the end of Q1, is now being delayed to Q2, as the company is still undergoing negotiation terms,” said John Vinh, an analyst with Pacific Crest Securities, in a report.

ASML Holding has signed an agreement with one of its major U.S. customers to deliver a minimum of 15 EUV lithography systems to support increased development activity and pilot production. The delivery of the first two NXE:3350B EUV systems is expected before the end of 2015. ASML did not name the customer. “ASML revealed that it had signed a 15 tool delivery deal with a U.S. manufacturer (we think most likely Intel),” said Srini Sundararajan, an analyst with W.R. Hambrecht + Co./Summit Research. “We think that Intel is signing up for these EUV tools given that TSMC is flirting with putting EUV to use in 10nm processing and Intel does not want to be left behind.”

A*STAR’s Institute of Microelectronics (IME) and others have formed a high-density fan-out wafer level packaging (FOWLP) consortium in Singapore. Others in the group include Amkor, Nanium, STATS ChipPAC, NXP, GlobalFoundries, Kulicke & Soffa, Applied Materials, Dipsol Chemicals, JSR, KLA-Tencor, Kingyoup Optronics, Orbotech and Tokyo Ohka Kogyo (TOK).

Applied Materials announced the Centura Tetra Z Photomask Etch system for etching next-generation optical photomasks. The new tool extends the capabilities of Applied’s Tetra platform, delivering photomask accuracy for critical dimension (CD) parameters.

KLA-Tencor announced its operating results for its third quarter of fiscal year 2015, which ended on March 31, 2015. It reported GAAP net income of $132 million and GAAP earnings per diluted share of $0.81 on revenues of $738 million. In addition, KLA-Tencor also announced a plan to reduce its global employee workforce by up to 10%. “KLAC suggested that no customer funding is anticipated for its actinic EUV mask inspection program and that it is scaling back efforts significantly. Oddly, Intel keeps promoting this program as a necessity for successful EUV adoption. We think a customer consortium could emerge, but perhaps not this year,” said Weston Twigg, an analyst with Pacific Crest Securities, in a report.

Lam Research announced its financial results for the quarter ended March 29, 2015. Shipments were $1.497 billion, up 20% from the prior quarter. And revenue was $1.393 billion, up 13% from the prior quarter.

“Lam shrugged off big CapEx cuts from Intel and TSMC last week, and proved that it continues to benefit from market expansion and likely share gains as customers rely on Lam to support new technology needs. While equipment reuse at Intel, TSMC and Samsung foundry is likely limiting what could be an even stronger period for Lam, memory customers are making up for it,” said Twigg of Pacific-Crest Securities, in a report. “FQ4 (June) revenue was guided well above our previous estimate of $1.36 billion and the consensus estimate of $1.38 billion. Lam guided June-quarter shipments up 6.9% q/q to a remarkable $1.6 billion based on continued strength in memory and slight growth in both foundry and logic. Non-GAAP EPS is expected to be $1.46, better than our previous estimate of $1.26 and the previous consensus estimate of $1.35.”

In a PDF file, Linyong (Leo) Pang of D2S provides some interesting slides on simulation-based mask inspection and review for the 10nm node and beyond.

The semiconductor equipment and materials industries applauded the introduction of bipartisan legislation to modernize and renew Trade Promotion Authority (TPA). The Bipartisan Congressional Trade Priorities and Accountability Act of 2015 would help open the door to new markets for U.S. SEM goods, boost economic growth for U.S. companies, and support well-paying U.S. jobs.

North America-based manufacturers of semiconductor equipment posted a book-to-bill ratio of 1.10 in March, according to SEMI.

Worldwide semiconductor revenue is forecast to reach $354 billion in 2015, a 4% increase from 2014, but down from the previous quarter’s forecast of 5.4% growth, according to Gartner. “Concern is mounting about semiconductor revenue growth in 2015 as system suppliers start to grapple with the rapid depreciation in value of global currencies relative to the U.S. dollar, excess inventories in the semiconductor and electronics supply chains, and the end of a PC upgrade cycle,” said Bryan Lewis, research vice president at Gartner. “The downward revision from last quarter’s forecast is due to these three factors combining to create a significant headwind for the semiconductor market in 2015.”



Leave a Reply


(Note: This name will be displayed publicly)