Fab And Field Data Transforming Manufacturing Processes


The ability to capture, process, and analyze data in the field is transforming semiconductor metrology and testing, providing invaluable insight into a product's performance in real-time and under real-world conditions and use cases. Historically, data that encapsulates parameters such as power consumption, temperature, voltages, currents, timing, and other characteristics, was confined to d... » read more

Customizing IC Test To Improve Yield And Reliability


Testing the performance and power of semiconductors as they come off the production line is beginning to shift left in the fab, reversing a long-standing trend of assessing chips just prior to shipping. While this may sound straightforward, it's a difficult challenge which, if successful, will have broad implications for the entire design-through-manufacturing flow. Manufacturers typically g... » read more

EUVL: Extreme Ultraviolet Lithography Research, Development, And Manufacturing (NIST)


A special paper titled “Report from the Extreme Ultraviolet (EUV) Lithography Working Group Meeting: Current State, Needs, and Path Forwards” was published by researchers at National Institute of Standards and Technology (NIST). Abstract: "This is the report of a hybrid working group meeting held on April 25, 2023, at the National Institute of Standards and Technology (NIST) in Boulder, C... » read more

High-NA EUV Progress And Problems


High-NA EUV will enable logic scaling for at least the next couple process nodes. It’s complex, expensive, and a feat of optical engineering, but there are a lot of components with mixed progress. Harry Levinson, principal lithographer at HJL Lithography, talks  about when this technology will likely show up, what problems still need to be resolved, and what comes next. Related Readin... » read more

AI Process Control Platform Enabling Next Generation Technology


PAICe Monitor delivers AI and machine learning-enabled analytics for all stages of the semiconductor fabrication process lifecycle — from process development and ramp readiness, to high volume production. Leveraging Tignis’ Digital Twin Query Language, PAICe Monitor enables process engineers to transform in-product fault diagnoses into continuous real-time monitoring—greatly improving ... » read more

Enhancing Punch MLF Packaging with Edge Protection Technology


Quad Flat No-Lead (QFN) semiconductor packaging provides a small form factor as well as good electrical and thermal performance for low cost. Add demonstrated long term reliability to its benefits and it is easy to see why it has been a preferred automotive package for many years. QFNs are offered in saw and punch formats with punch being a well-defined and used solution in the automotive marke... » read more

Using Advanced Analytics To Meet ESG Goals


With the continued advancement of environmental, social and governance goals, corporations are increasingly focused on reducing their carbon footprints. To accomplish this, these companies are being asked to operate their businesses more efficiently than ever before, whether the matter is reducing waste, water usage or power consumption. This is true for the semiconductor industry as well. A... » read more

NIST Releases “Vision And Strategy for the National Semiconductor Technology Center”


A paper titled "A Vision and Strategy for the National Semiconductor Technology Center" was published by the U.S. Department of Commerce’s National Institute of Standards and Technology (NIST). The paper describes how the NSTC (National Semiconductor Technology Center) will develop and safeguard chips and technologies of the future. “The NSTC will be an ambitious public-private consortiu... » read more

How Curvilinear Mask Writing Affects Chip Design


As chips become more complex and features continue to shrink, it becomes more difficult to print shapes on photomasks. The ability to print curvilinear masks changes that equation, but not all of the pieces in the flow are automated today. Aki Fujimura, CEO of D2S, talks about what has to change, what will the impact be on design rules, and why using curvilinear shapes can shrink the manufactur... » read more

Deep Learning (DL) Applications In Photomask To Wafer Semiconductor Manufacturing


Published by the eBeam Initiative Member Companies (February 2023), this list of artificial intelligence (AI) systems used by member companies in their semiconductor manufacturing products shows progress. New examples of systems using AI include: image processing and parameter tuning in lithography tool mask metrology system B-SPline Control Point generation tool sem... » read more

← Older posts Newer posts →