Improved Accuracy And Robustness For Advanced DRAM With Tunable Multi-Wavelength Imaging Scatterometry Overlay Metrology

How to optimize recipes for overlay control.

popularity

By Honggoo Lee, Sangjun Han, Minhyung Hong, Jieun Lee, Dongyoung Lee, Ahlin Choi and Chanha Park of SK Hynix, and Dohwa Lee, Seongjae Lee, Jungtae Lee, Jeongpyo Lee, DongSub Choi, Sanghuck Jeon, Zephyr Liu, Hao Mei, Tal Marciano, Eitan Hajaj, Lilach Saltoun, Dana Klein, Eran Amit, Anna Golotsvan, Wayne Zhou, Eitan Herzl, Roie Volkovich and John C. Robinson of KLA.

Abstract
Overlay process control is a critical aspect of integrated circuit manufacturing. Advanced DRAM manufacturing overlay error budget approaches the sub-2nm threshold, including all sources of overlay error: litho processing, non-litho processing, metrology error, etc. Overlay measurement quality, both for accuracy and robustness, depends on the metrology system and its recipe setup. The optimal configuration depends on the layer and materials involved. Increased flexibility of metrology setup is of paramount importance, paired with improved methods of recipe optimization.

Both optical image-based overlay (IBO) and scatterometry diffraction overlay (SCOL) are necessary tools for overlay control. For some devices and layers IBO provides the best accuracy and robustness, while on others SCOL provides optimum metrology. Historically, wavelength selection was limited to discrete wavelengths and at only a single wavelength. At advanced nodes IBO and SCOL require wavelength tunability and multiple wavelengths to optimize accuracy and robustness, as well as options for polarization and numerical aperture (NA). In previous studies1,2,3 we investigated wavelength tunability analysis with landscape analysis, using analytic techniques to determine the optimal setup. In this report we show advancements in the landscape analysis technique for IBO through both focus and wavelength, and comparisons to SCOL. A key advantage of imaging is the ability to optimize wavelength on a per-layer basis. This can be a benefit for EUV layers in combination with those of 193i, for example, as well as other applications such as thick 3D NAND layers. The goal is to make accurate and robust overlay metrology that is immune from process stack variations, and to provide metrics that indicate the quality of metrology performance. Through both simulation and on-wafer advanced DRAM measurements, we show quantitative benefits of accuracy and robustness to process stack variability for IBO and SCOL applications.

Methodologies described in this work can be achieved using Archer overlay metrology systems, ATL overlay metrology systems, and 5D Analyzer advanced data analysis and patterning control solution.

1. Imaging-Based Overlay Landscape Simulations
Overlay process control is a critical part of integrated circuit manufacturing, and as design rules shrink the requirements for overlay control become more challenging. Overlay measurement quality, both for accuracy and robustness, depends on the metrology system and its recipe setup. The optimal configuration depends on the layer and materials involved. Increased flexibility of metrology setup is of paramount importance, paired with improved methods of recipe optimization. In previous studies [1,2,3] we investigated wavelength tunability analysis with landscape analysis, using analytic techniques to determine the optimal setup. In this section we show simulation results of image-based overlay (IBO) in order to better demonstrate the opportunity for improved accuracy and robustness against process variation.

Wavelength and focus are key parameters of optical overlay metrology. The advent of wavelength tunability permits tracking process variation and the opportunity to increase metrology robustness against process variation. Process variation in the wafer stack can be characterized as symmetric with respect to the optical path difference (OPD) such as thickness variation, n & k variation, CD variation, or asymmetric with respect to OPD such as sidewall angle or tilt. The case of symmetric process variation is simulated in Figure 1. The simulated maps show wavelength versus focus for various cases. As can be readily seen, the measured overlay varies as a function of both parameters, as does the metrology target image contrast. The contrast and overlay maps are shifted in focus and wavelength together with the OPD change. Regions of relative stability are separated by sharp transitions known as contrast reversal.


Figure 1: Simulation of symmetric process variation. Maps of overlay (left) and contrast (right) with wavelength (X axis) vs. focus (Y axis) for nominal (center), nominal-10% (top) and nominal+10% (bottom).

As shown in Figure 2, a small change in the type of asymmetry in the target impacts the overlay signature significantly but has a negligible impact on the contrast precision map. Clearly the accuracy and robustness of the measurement is focus and wavelength dependent in the case of asymmetric process variation. Only in the case of the nominal stack is the overlay constant in wavelength and focus. IBO technology with tunability in focus and wavelength enables better tracking of optimal accuracy and robustness conditions. This technology also enables introduction of new algorithms and accuracy metrics that improve overall robustness.


Figure 2: Simulation of asymmetric process variation. Maps of contrast (top) and overlay (bottom) with wavelength (X axis) vs. focus (Y axis) for nominal (symmetrical) stack (right), top tilt (center) and side wall angle asymmetry (left).

Landscape analysis is a critical component of optimal metrology recipe setup and maintenance. Once an optimal focus slice is chosen, then the wavelength dependency is considered. Figure 3 shows schematic landscapes as a function of wavelength. Figure 3a is at the nominal conditions and shows a resonance at 500nm. Overlay metrology is inaccurate near a resonance as is indicated in red. A corresponding merit function, as derived from the image, is shown in blue. Figure 3b shows the impact of a symmetric process variation. In this case the resonance is shifted to 550nm but is otherwise identical. The scaling between the accuracy merit metric and inaccuracy is unchanged.


Figure 3: Schematic landscape plots as a function of wavelength. Example resonance at (a) nominal conditions, (b) with symmetric process variation, and (c) and (d) with asymmetric process variations. Overlay inaccuracy is shown in red, a merit function is shown in blue.

Figure 3c shows the impact of asymmetric process variation for two values of sidewall angle, but both with the same sign. In this case the resonance is not shifted, however, the inaccuracy and merit function scales with the simulated asymmetric process variation. Figure 3d is for the case of two values of sidewall angle that are opposite in sign. Like Figure 3c, the peak is not shifted, however, the inaccuracy amplitude switches sign. Combinations of symmetric and asymmetric process variation can not only move but also impact overlay accuracy near a resonance.


Figure 4: Schematic of an imaging optical metrology system including asymmetric target (bottom), collection of orders 0, +1, and -1, and imaging plane x.

A simplified schematic of an imaging optical metrology system is shown in Figure 4. Light from an overlay target is collected in the optics at image plane x. For simplicity we consider only orders 0, +1, and -1. In the presence of asymmetry in the target, an amplitude δA and phase imbalance δφ would also appear between the +1 and -1 diffracted orders (a1≠a-1 and/or φ1≠φ-1). The equation of the signal appears here below. In the absence of asymmetry, both δA and δφ are zero and the signal eventually leads to perfect overlay accuracy. As δA is strongly wavelength dependent, we could find a recipe for which δA is cancelled and the accuracy optimized.[3] The equation shows that δA is also focus dependent. If δA=0, then inaccuracy goes to 0 and consequently, d(OVL)/dF = 0, then there is no overlay variation through focus. In other words, overlay variation through focus should behave as δA/A.

The key metrics are TFcos3σ (through focus center of symmetry 3σ) and TFOVL3σ (through focus overlay 3σ). Figure 5 shows a simulation of ~10 different stacks and ~100 different process variations for the case of sidewall angle asymmetry. The TFcos3σ is well correlated to δA/A. This shows that sensitivity to asymmetry is cancelled where δA = 0. TFcos3σ is minimized at the same wavelengths and indicates minimal sensitivity to target asymmetries.


Figure 5: Simulation of through focus cos 3σ and δA/A as a function of wavelength.

Figure 6 shows how overlay through focus tracks inaccuracy for the case of sidewall angle asymmetry. Overlay inaccuracy is shown as a function of wavelength along with TFOVL3σ and . Additionally, Figure 6 shows δA/A and TFOVL3σ independently for the current and previous grating. From this we conclude that the previous grating is the main contributor to inaccuracy, so overlay inaccuracy is driven by δA/Aprevious. It is also clear that TFOVL3σ is highly correlated to inaccuracy and permits the selection of the most accurate region of the landscape.


Figure 6: Through focus landscapes with sidewall asymmetry vs. wavelength. Top: TFOVL3σ (blue), inaccuracy (red), and (green). Bottom left shows previous grating, bottom right shows current grating: δA/A (blue) and TF3σ (green).

Conventional wisdom would indicate that good contrast will ensure good metrology. Based on a stack simulation with both grating asymmetry and symmetric thickness variations of ±15%, we see that this may not be the case. While good contrast will allow measurement, it is not necessarily accurate or robust under process variations, as seen in regions A and B in Figures 7 and 8.


Figure 7: Contrast (upper) and inaccuracy (lower) vs. wavelength with regions A and B identified.


Figure 8: Homing example for regions A and B: inaccuracy at ten different process variations. Through focus overlay (blue) and contrast precision (red).

Figure 8 shows simulations of ten different process variation conditions, showing best contrast precision and TFOVL3σ in regions A and B. Finding the best TFOVL3σ allows finding better accuracy and robustness. Region B clearly shows better accuracy and would result in a better recipe. The process of optimal recipe selection is called Homing, as illustrated in this simulation. Imaging-based overlay (IBO) can perform recipe optimization using target quality, measurability, and accuracy metrics.

2. Imaging-Based Overlay Landscape Metrology In DRAM
In the previous section we focused on simulation results to establish the opportunity for tunability in focus and wavelength for IBO metrology optimization based on theoretical considerations. In this section we analyze actual production wafer metrology for advanced DRAM on critical layers. In addition to the target measurability and accuracy, IBO technology allows the development of additional types of merit which indicate the target quality. Hence, recipe selection is performed using a combination of the different quality metrics, for example those shown in Figure 9a and 9b. Figure 9a shows contrast precision for the previous and current grating as well as the pooled (or combined) contrast precision. Once focus slice is chosen the optimal wavelength can be chosen, for example, based on the merit metrics shown in Figure 9b. Proper separation of grating in overlay metrology enables optimized recipe selection as well as in-depth root cause analysis of overlay excursions by analyzing each target separately in term of measurability, accuracy and target printability quality.


Figure 9a: Measured contrast precision as a function of focus and wavelength for the previous grating, current grating, and the combined/pooled results.


Figure 9b: Recipe optimization using various quality metrics: accuracy, target quality, and measurability. Average (top) and variability (bottom) for current target (orange), previous target (yellow), and pooled (blue).

To understand the impact of process variation on overlay metrology on production DRAM wafers, we consider across wafer variation. An across wafer variation, as indicated by an accuracy metric, is apparent in Figure 10. The corresponding landscape plots can be analyzed. Since the resonance is stable in that it remains at a consistent wavelength, it is apparent that there is very minimal symmetric process variation present. The landscape breathing as shown in Figure 10 is analyzed following the landscape theory presented in the first section. It indicates the asymmetric variability across wafers. The inaccuracy values were calculated using the difference between IBO and CD-SEM measurements (using CD-SEM as an external ruler). The color of the curves on the right are indicative of the locations in the wafer map on the left.


Figure 10. Asymmetric process variation across wafer (left) as a function of wafer field index X and Y. Overlay landscape (right): overlay vs. wavelength at the corresponding locations.


Figure 11. Modeled asymmetry metric (left) as a function of wafer position X and Y. Site-by-site delta, or NZO (right) between optical and SEM.

This analysis enables deduction of the size and direction of the asymmetry across the wafer. Figure 11 shows the calculated asymmetry size (left) and the site-by-site delta (inaccuracy) or non-zero offset (NZO) [3] between optical and CD-SEM overlay measurements (right). The strong correlation between the two signatures validates the landscape method for characterizing process variation as well as our metrics capabilities. Identifying the problematic areas allows us to use a zonal analysis technique to optimize the measurement conditions using the area (zone) specific characteristics.


Figure 12. Field-averaged accuracy metrics across wafer and zone boundary (white circle).

Table 1. Overlay accuracy metrics for plan of record (POR) vs. various combinations of wavelength by zone.

Figure 12 shows where a two-zone setup provides improved performance. In this case the site-by-site delta or NZO between optical and SEM overlay of 1nm is reduced to 0.3nm by this technique. Taking the zonal approach further, various combinations of two wavelengths for which accuracy and target noise metrics are reduced and enable the reduction of overlay residuals and/or other metrics of interest, as shown in Table 1.

3. Comparison Of Imaging To Scatterometry Overlay In DRAM
The previous discussions pertained exclusively to optical imaging-based metrology (IBO). In this section we compare IBO and scatterometry overlay (SCOL).4 Each technique has its advantages, and the two-technology combination enables optimization across devices and layers. A six-wafer design of experiments (DOE) was performed with advanced DRAM wafers on critical layers. The three wafers included intentional thickness variation, including nominal or process of record (POR), +10% in layer thickness, and -10% in layer thickness. An additional set of three wafers included intentional etch variation. The metrology impact is investigated using these six wafers.


Figure 13. Imaging (top row) vs. scatterometry (bottom row) for wafer center (center), wafer left side (left), and wafer right side (right): overlay vs. wavelength for nominal (blue), +10% thickness (orange) and -10% thickness (yellow).

The DOE comparison results of IBO and SCOL are shown in Figure 13. The impact of the intentional wafer to wafer process variation is shown by the three traces in each overlay landscape. For this device and layer the IBO results show comparable process robustness to SCOL, as indicated by the spread between DOE conditions, with a potential advantage for IBO. IBO shows better accuracy than SCOL as indicated by the relative flatness of the landscape. In either case, continuous wavelength tunability enables advanced landscape recipe optimization and metrics indicating quality of the measurement against process variations.


Figure 14: Imaging (top row) and scatterometry (bottom row) with accuracy across wafer (left), and site-by-site delta or NZO between optical and SEM overlay or NZO across wafer (center), and across field (right).

In Figure 14 we compare the best recipe in terms of accuracy for IBO and SCOL. For comparison, note that the scales on the graphic are different, however, the IBO results show ~0.5nm advantage in the accuracy metric. When comparing delta between optical and SEM results or NZO, the benchmark for on-product accuracy, we see good spatial correlation between the accuracy metric and comparable results to the accuracy metric.

As an additional comparison, we look at the cumulative distribution function of IBO and SCOL on advanced DRAM wafers, averaged across the intentional DOE process wafers versus the nominal POR wafer. For this device and layer, IBO shows 85% better robustness across process variation compared to SCOL in terms of accuracy (vs. CD-SEM measurement) and accuracy robustness. However, 65% of SCOL recipes show better residuals and residual robustness across process variation than IBO.


Figure 15: Cumulative distribution function of site-by-site deltas or NZO for overlay (top row) and residual (bottom row) for both mean (left) and 3σ robustness (right). Imaging results (blue) are compared with scatterometry results (orange and yellow).

4. Summary And Conclusions
Overlay process control is a critical aspect of integrated circuit manufacturing. Advanced DRAM manufacturing overlay error budget approaches the sub-2nm threshold, including all sources of overlay error: litho processing, non-litho processing, metrology error, etc. Overlay measurement quality, both for accuracy and robustness, depends on the metrology system and its recipe setup. The optimal configuration depends on the layer and materials involved. Increased flexibility of metrology setup is of paramount importance, paired with improved methods of recipe optimization and metrics to indicate accuracy and robustness.

Wavelength and focus tunability allow the development of new and improved accuracy metrics which allow optimization of overlay measurement performance. Analyzing landscape signatures of the measurements enable in-depth understanding of the asymmetries and across wafer signatures due to process variation. Multi-wavelength measurements combined with accuracy metric optimization enable significant reduction in residuals. Utilizing the two technologies of image-based overlay and scatterometry overlay enable optimization across multiple technologies and process layers.

Methodologies described in this work can be achieved using Archer overlay metrology systems, ATL overlay metrology systems, and 5D Analyzer advanced data analysis and patterning control solution.

References
[1] Peled, Einat, et al., “Spectral tunability for accuracy, robustness, and resilience,” Proc. SPIE Vol. 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105850S (2018).

[2] Bringoltz, Barak, et al., “Accuracy in optical overlay metrology,” Proc. SPIE Vol. 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97781H (2016).

[3] Lee, Honggoo; Kang, Yoonshik; Han, Sangjoon; Shim, Kyuchan; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, Dongyoung; Oh, Eungryong; Choi, Ahlin; Kim, Youngsik; Marciano, Tal; Klein, Dana; Hajaj, Eitan M.; Aharon, Sharon; Ben-Dov, Guy; Lilach, Saltoun; Serero, Dan; and Golotsvan, Anna; “Accuracy optimization with wavelength tunability in imaging technology,” Proc. SPIE Vol. 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 1058532 (2018).

[4] Lee, Honggoo; Han, Sangjun; Woo, Jaeson; Lee, DongYoung; Song, ChangRock; Heo, Hoyoung; Brinster, Irina;
Choi, DongSub; and Robinson, John C., “High-volume manufacturing device overlay process control,” Proc. SPIE Vol. 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101450D (2017).

This paper was originally published in SPIE Advanced Lithography, Proc. Vol. 10959, Metrology, Inspection, and Process Control for Microlithography XXXIII; 109591E (2019) https://doi.org/10.1117/12.2515015



Leave a Reply


(Note: This name will be displayed publicly)