Wafer Shortage Improvement In Sight For 300mm, But Not 200mm

Suppliers are investing new 300mm capacity, but it’s probably not enough. And despite burgeoning 200mm demand, only Okmetic and new players in China are adding capacity.

popularity

The supply chain for bare wafers is off-kilter. Demand is appreciably higher than the wafer suppliers can keep up with, creating shortages that could last for years.

For 300mm starting wafers, the top five big players — SEH and Sumco of Japan, Siltronic of Germany, GlobalWafers of Taiwan, and SK Siltron of Korea — finally took action over the last year, spending billions on new wafer facilities. The Big 5 account for 90% of the market, but their “greenfield” fabs won’t be producing wafers until 2024, at the earliest.

Of the bigger players, only Okmetic (No. 7 in terms of market share), which produces advanced, customized silicon wafers for the manufacture of MEMS, sensor, RF and power devices, is investing in 200mm. The other exceptions come from China, where a broad but fairly fragmented group of wafer suppliers is getting into the game. Industry experts say that the Chinese producers haven’t yet mastered the quality required, but it’s probably just a matter of time before they come up to par.

300mm is where the volume — and the money — is. But chips built on 200mm wafers are in the critical path for many end products. As Anna-Riikka Vuorikari-Antikainen, CCO of Okmetic and the new chair of SEMI’s Silicon Wafer Manufacturers’ Group notes, consumers are not willing to buy cars without radar, or washing machines without advanced sensors, or phones that can’t handle 5G. These markets depend on chips typically manufactured on 200mm wafers — and those 200mm wafers often have characteristics that are unique to the markets they serve. The volumes are smaller, and the manufacturing is often more complex. But in end-products containing hundreds if not thousands of chips, not having enough of any one chip can still cause a bottleneck.

Wafer suppliers cannot just step on the gas and spit out wafers at a faster rate, though. As Techcet’s Director of Market Research Dan Tracy notes, there is no Moore’s Law for wafer manufacturing. Making wafers is an arduous process. Furthermore, he notes it was only in the past year that silicon wafer revenues returned to the levels they were at in 2007. That’s 14 years ago — and the current ASPs are still 36% below what they were in 2007.

Fig. 1: Silicon wafer revenue forecast (Feb. 2022 update). Silicon wafer revenues grew 14.5% in 2021, finally reaching the same levels they were at in 2007. Source: Techcet

Fig. 1: Silicon wafer revenue forecast (Feb. 2022 update). Silicon wafer revenues grew 14.5% in 2021, finally reaching the same levels they were at in 2007. Source: Techcet

But now, with capacity tighter than ever, prices are going up — and wafer suppliers are reporting record profitability, thanks especially to 300mm wafer demand. And yet, he says, it’s less about price and more about the stability of the supply chain.

2021 was a record-setting year, says Tracy. Overall, wafer shipments in terms of area grew 14% to 14.2 billion square inches, the equivalent of 1,700 U.S. football fields. Meanwhile, 300mm shipments grew by more than 13%, while 200mm shipments grew more than 15%, posting a strong recovery from 2020. For 2022, Techcet expects overall shipments to grow by about 6%.

Fig. 2: Silicon Wafer Shipment Forecast as of Feb. 2022. Posting 14% wafer shipment growth, 2021 was a record-setting year. The outlook for 2022 is about 6% growth. Source: Techcet

Fig. 2: Silicon Wafer Shipment Forecast as of Feb. 2022. Posting 14% wafer shipment growth, 2021 was a record-setting year. The outlook for 2022 is about 6% growth. Source: Techcet

Revenues for the entire silicon wafer market (including SOI wafers), increased by 14.5%, and are increasing again by 10% in 2022, topping off at $15.5 billion, Techcet says. This is the first time in more than a decade that the wafer industry has posted two consecutive years of double-digit growth.

However, that growth is in dollars and is largely due to price increases rather than increased wafer production. Tracy indicates that the demand for 300mm in 2022 is about 7,200 wafers per month (wpm). But until 2024, even running at 100%, the total production capacity for 300mm wafers will be falling short of demand by about 10%. So some customers — especially second tier foundries — have been put on allocation.

Meanwhile, in much smaller but extremely fast-growing markets like for chips built on silicon carbide (SiC) wafers, shortages do not currently exist. But if demand pans out as predicted, wafer shortages are on the horizon for them, too.

300mm: Tight until 2024 and beyond
An enormous amount of investment activity is going on for 300mm wafers, but even with all of that, demand is set to keep exceeding supply.

Together, the two biggest wafers suppliers, SEH and Sumco, both based in Japan, account for over 50% of the market. Sumco shared some enlightening illustrations in their FY2021 results presentation.

Fig. 3: 300mm wafer global capacity and demand forecast (Feb. 2022). Even with brownfield and greenfield investments in capacity expansion, customer demand will exceed available global wafer capacity for years to come. Source: Sumco

Fig. 3: 300mm wafer global capacity and demand forecast (Feb. 2022). Even with brownfield and greenfield additions, customer demand will exceed global wafer capacity for years to come. Source: Sumco

Even with all that new capacity, supply still might fall short in the years to come. The 300mm drivers are diverse. It’s not just about smart phones. It’s also data centers, automotive, PCs, AI, industrial products, consumer goods and more. Everybody wants more chips, and there’s really not much more the wafer suppliers can do.

Fig. 4: Growth drivers for 300mm wafers. Smartphones are still a significant driver for 300mm wafers, but there is also a growing need for more data center and automotive chips as the industry shifts. Source: Sumco

Fig. 4: Growth drivers for 300mm wafers. Smartphones are still a significant driver for 300mm wafers, but there is also a growing need for more data center and automotive chips as the industry shifts. Source: Sumco

The biggest player, SEH, is not saying much. In a December 2021 call with analysts, a company spokesperson said, “We have not changed our policy at all to gradually increase capacity based on contracts. Currently, the company continues to operate at full capacity, but we are unable to completely meet demand. In 2022 and 2023, brownfield investment will be limited. Greenfield investments will only start to contribute in 2024. Therefore, the shortage of 300mm wafers is expected to continue for some time.”

He added that SEH’s costs, including materials and equipment, are considerably higher than when the company first considered expanding investments a year ago. Moreover, equipment suppliers, which develop some highly specialized equipment for SEH, are hitting capacity walls, too.

Some customers will get increased volume this year — along with increased prices, he indicated. But even as greenfield capacity comes on line in 2024, they “… expect price increases to step up further as the capacity is enhanced with the launch of new lines.”

Likewise, in a FY21 year-end call with analysts, Sumco indicated that for 300mm wafers it had “further requests for more volume from logic and memory customers” but was “unable to accommodate requests.” In fact, despite a new $2 billion plant for 300mm in Imari, Japan, which is expected to come on line in 2024, Sumco’s long-term agreements (LTA) in place with customers now account for 100% of capacity through 2026.

For its part, wafer supplier No. 3, GlobalWafers, had thought it was buying No. 4 Siltronic.  The deal was meant to be finalized in January 2022, but was squelched when all the government approvals failed to arrive in time. GlobalWafers indicated that the roughly $5 billion they’d earmarked for the Siltronic takeover would instead go into capacity expansion. $3.6 billion of that will go into greenfield investments starting this year and running through 2024. A recent Taipei Times article indicated there are “brownfield and greenfield projects at six sites in Taiwan, the U.S., Italy, South Korea, Japan and Denmark.”

Wacker Chemicals, which is the majority shareholder in Siltronic, still says it is looking at the medium-term to sell its remaining stake. Siltronic, meanwhile, is doing just fine. Q1 2022 revenues are up 10.7% over Q4 2021, mainly because of increased ASPs, but also because of some brownfield expansions of existing factories in previous years. As for the sale to GlobalWafers, back in January when it was clear the deal wouldn’t go through, Siltronic’s CEO Christoph von Plotho told the German newspaper F.A.Z., “A lot has changed. Chips are scarce and prices are rising. An unchanged offer is less attractive from today’s perspective.”

Meanwhile, Siltronic is running at full capacity and moving ahead with its €2 billion investment in “FabNext,” its new 300mm wafer fab going up in Singapore. Management describes it as state-of-the-art and highly cost efficient. “We are proud that the construction of FabNext is supported by long-term purchase agreements (LTAs) and prepayments from several leading customers,” Siltronic said. The first wafers are expected to be available in 2024.

At its Freiberg, Germany site, Siltronic is building a new production hall for crystals and installing new epitaxy reactors. There is also some “modest expansion” at its site in Portland, Oregon.

Rounding out the Big 5, Korea’s SK Siltron also is making big investments in 300mm wafer manufacturing. In March 2022, it announced an investment of about $1.2 billion in a wafer plant in the Fumi National 3d Industrial Park. The company has been running at maximum volume for the last two years.

SK Siltron president Yong-ho Jang told Korea IT News, “Wafer investment is a risky investment since it is difficult to accurately predict and quickly respond depending on changes in the market. We will be equipped with a high-quality wafer manufacturing capabilities through collaboration and technological innovation with global semiconductor companies to become a leader in the wafer department.”

200mm shortage — no end in sight
If it sounds like the 300mm market is tight, at least there is some respite coming in 2024. No such luck for those whose chips are built on 200mm wafers. In April 2022, SEMI released its 200mm Fab Outlook Report. It indicates semiconductor manufacturers worldwide are on track to boost 200mm fab capacity by 1.2 million wafers, or 21%, from the start of 2020 to the end of 2024, to hit a record high of 6.9 million wafers per month.

“Wafer manufacturers will add 25 new 200mm lines over the five-year period to help meet growing demand for applications such as 5G, automotive and Internet of Things (IoT) devices that rely on devices like analog, power management and display driver integrated circuits (ICs), MOSFETs, microcontroller units (MCUs) and sensors,” said Ajit Manocha, SEMI president and CEO.

That’s largely the “More-than-Moore” part of the industry. But where are they going to get the wafers needed to support the expansion?

The only important wafer supplier that has announced 200mm expansion is Okmetic of Finland, and it isn’t positioned to handle all the 200mm growth the industry is anticipating.

Okmetic’s customers use their wafers for chips going into smartphones, portable devices, automotive electronics, industrial process control and medical applications, IoT, and various solutions to improve power usage and efficiency.

Since its founding by a metallurgy company and Nokia back in 1985, Okmetic has handled the entire wafer process, starting with crystal growing. Wafer customization starts with the growing of the silicon ingots. How the growing ingot is doped depends on the required characteristics of the customer product — high resistivity for RF devices and low or medium resistivity for power devices. Likewise, MEMS and sensors require specially tailored materials and processes.

Okmetic has undertaken a €400 million investment — the largest in the company’s history — for a new 200mm production facility, which will enable them to more than double the company’s capacity and business. Wafer production at the new facility is expected to begin in 2025. Over the last five years, Okmetic also has invested more than €100 million in increasing the production capacity of its Vantaa (Finland) fab.

The SEMI report indicates that foundries will account for more than 50% of 200mm fab capacity worldwide this year, followed by manufacturers in analog at 19%, and discrete/power at 12%. Regionally, China will lead the world in 200mm capacity with 21% share in 2022, followed by Japan with 16%, and Taiwan and Europe/Mideast at 15% each.

For the 200mm wafer shortage, “There’s no end in sight,” concludes Samuel T. Wang, vice president of research, technology, and service providers at Gartner. “China might be the only solution.”

China rising
China meanwhile is setting aggressive goals for its wafer suppliers. While quality still needs to be improved, there are wafer suppliers providing 200mm and 300mm wafers suitable for 90nm production.

Wang cites at least a dozen wafer suppliers in China coming up fast. They include ESWIN, Hangzhou Semiconductor Wafer Co. (part of Japan’s Ferrotec group), NSIG (National Silicon Industry Group, which is an investor in Soitec, Okmetic, ZingSemi and Simgui, which in turn works in partnership with Soitec producing RF-SOI wafers, among other things), Chongqing Advanced Silicon Technology Co. (“AST”​), and Nanjing Guosheng Electronics Co.

But what China’s really promoting is the compound semiconductor business.

SiC coming up fast
Silicon carbide (SiC) wafers are used in power markets. It’s a market in its infancy, and while there are no shortages today, they could be on the horizon. SiC wafer manufacturing is a much slower, more energy-intensive and far more expensive business than silicon. A SiC boule (ingot) typically takes weeks to grow in furnaces twice as hot as for silicon, producing only about 50 wafers/boule, with yield losses in the 30% range, at a cost of 20 to 50 times higher than silicon.

But because of the promise SiC holds for chips solving electric vehicle (EV) range anxiety (among other power-related challenges), SiC is currently a source of increasing competition.

The CEO of Halo Industries, whose company has a laser technology for more efficiently slicing SiC boules into wafers, cites his favorite graph from Canaccord Genuity. It indicates demand hitting up against supply issues in 2023, and spiraling upward from there through the end of the decade.

Fig. 5: Silicon carbide wafer demand across all applications. By the end of the decade, demand for SiC wafers will vastly exceed supply. Source: Canaccord Genuity

Fig. 5: Silicon carbide wafer demand across all applications. By the end of the decade, demand for SiC wafers will vastly exceed supply. Source: Canaccord Genuity

This helps explain why SiC industry leader Wolfspeed has just opened the world’s largest SiC fab in upstate New York, and is expanding its North Carolina materials factory.

SOI wafer maker, Soitec, meanwhile, is betting big on using its Smart Cut technology to get 10 times as many wafers from a SiC boule as is currently possible. It announced a new SiC wafer fabrication facility, expected to generate first revenues in the second half of calendar year 2023. “We have increased visibility on the future, with customers asking to secure supply of our wafers through long-term agreements, for longer periods than before,” said a company spokesperson.

China has at least 15 fabs working on SiC, says Gartner’s Wang. “They think they can pass the U.S.,” he says.

It takes an ecosystem
There are serious shortages all over the chip industry, and they are being felt in every segment. Companies are stocking up on equipment, materials, and even manufactured devices.

“We have a 52-week lead time quote some of our laminate substrates,” said Rosie Medina, director of sales at marketing at QP Technologies. “We’re maybe three months into it, and customers are waiting patiently. Some companies are not taking ceramic package orders even on older, legacy-type ceramic packages. Leadframes for our QFNs haven’t been too bad — we’ve gone from 8 to about 16 weeks — because we’re buying in bulk. And we’re seeing purchase orders much farther in advance. But we were just informed the wafers are now going to be a one-year lead time, so I’ve had to go back to the customer and say, ‘I need more purchase order coverage to get the order placed or you’re going to run out of wafers.'”

Meanwhile, the wafer suppliers remain conservative and secretive. Their reluctance to invest dates back to just before the market crash of 2009, when they invested big on the promise of high growth, only to be left in the lurch.

To this day, suppliers fight mightily just to remind the industry that wafers are not a commodity, says Techcet’s Tracy. They have up to a thousand different parameters to respect, tailored to the needs of each of their customers.

SEMI offers a platform for discussion across the supply chain, says Vuorikari-Antikainen. It’s critical for the entire supply chain to operate in a healthy ecosystem. Regarding the shortages, “There’s still time to take action,” she says, but the time to act is now.

Conclusion
The chip industry can but hope that behind closed doors the entire ecosystem is finally engaged in serious and fruitful talks. Only then will all the supply chain players gain the visibility and trust required for mutual profitability. If they’re not, the industry risks lurching into an ever-deepening crisis — one that could take years to unravel.

Related Stories
Shortages Spark Novel Component Lifecycle Solutions
Fabs and equipment vendors are optimizing components in ways that previously were considered unnecessary.
Slowdown, But No Correction
Materials supply chain still bumpy and consumer buying has peaked for now, but growth continues.
200mm Shortages May Persist For Years
Some 300mm tools are converted to 200mm; equipment prices and chip manufacturing costs are rising.



2 comments

Dean Freeman says:

Adele, Nice to see a good summation on the silicon market. Its amazing to see the $/in2 still hasn’t risen even with the strong demand across all wafer types. When last I took a deep dive into the polysilicon market shortages were also looming and the market was shifting to China. Any thoughts, or better yet an upcoming article on this topic?

Adele Hars says:

Hi Dean – many thanks for your kind words.My apologies for the tardy reply – just seeing this comment now. It was a fascinating piece to research. Yes, agree — seeing the historical $/in2 was an “Aha!” moment…. Haven’t looked into the polysilicon market shortages, but a quick glance around seems to make it more of a solar issue. But if you have other insights, let’s talk!

Leave a Reply


(Note: This name will be displayed publicly)