Nanosheet FETs Drive Changes In Metrology And Inspection

Detecting defects inside deep or hidden structures requires a multitool approach.

popularity

In the Moore’s Law world, it has become a truism that smaller nodes lead to larger problems. As fabs turn to nanosheet transistors, it is becoming increasingly challenging to detect line-edge roughness and other defects due to the depths and opacities of these and other multi-layered structures. As a result, metrology is taking even more of a hybrid approach, with some well-known tools moving from the lab to the fab.

Nanosheets are the successor to finFETs, an architecture evolution prompted by the industry’s continuing desire to increase speed, capacity, and power. They also help solve short-channel effects, which lead to current leakage. The great vulnerability of advanced planar MOSFET structures is that they are never fully “off.” Due to their configuration, in which the metal-oxide gate sits on top of the channel (conducting current between source and drain terminals), some current continues to flow even when voltage isn’t applied to the gate.

FinFETs raise the channel into a “fin.” The gate is then arched over that fin, allowing it to connect on three sides. Nevertheless, the bottom of the gate and the bottom of the fin are level with each other, so some current can still sneak through. The gate-all-around design turns the fin into multiple, stacked nanosheets, which horizontally “pierce” the gate, giving coverage on all four sides and containing the current. An additional benefit is the nanosheets’ width can be varied for device optimization.

Fig. 1: Comparison of finFET and gate-all-around with nanosheets. Source: Lam Research

Fig. 1: Comparison of finFET and gate-all-around with nanosheets. Source: Lam Research

Unfortunately, with one problem solved, others emerge. “With nanosheet architecture, a lot of defects that could kill a transistor are not line-of-sight,” said Nelson Felix, director of process technology at IBM. “They’re on the underside of nanosheets, or other hard-to-access places. As a result, the traditional methods to very quickly find defects without any prior knowledge don’t necessarily work.”

So while this may appear linear from an evolutionary perspective, many process and materials challenges have to be solved. “Because of how the nanosheets are formed, it’s not as straightforward as it was in the finFET generation to create a silicon-germanium channel,” Nelson said.

Hybrid combinations
Several techniques are being utilized, ranging from faster approaches like optical microscopy to scanning electron microscopes (SEMs), atomic force microscopes (AFMs), X-ray, and even Raman spectroscopy.

Well-known optical vendors like KLA provide the first-line tools, employing techniques such as scatterometry and ellipsometry, along with high-powered e-beam microscopes.

With multiple gate stacks, optical CD measurement needs to separate one level from the next according to Nick Keller, senior technologist, strategic marketing for Onto Innovation. “In a stacked nanosheet device, the physical dimensions of each sheet need to be measured individually — especially after selective source-drain recess etch, which determines drive current, and the inner spacer etch, which determines source-to-gate capacitance, and also affects transistor performance. We’ve done demos with all the key players and they’re really interested in being able to differentiate individual nanosheet widths.”

Onto’s optical critical dimension (OCD) solution combines spectroscopic reflectometry and spectroscopic ellipsometry with an AI analysis engine, called AI-Diffract, to provide angstrom-level CD measurements with superior layer contrast versus traditional OCD tools.

Fig. 2: A model of a GAA device generated using AI Diffract software, showing the inner spacer region (orange) of each nanosheet layer. Source: Onto Innovation

Fig. 2: A model of a GAA device generated using AI Diffract software, showing the inner spacer region (orange) of each nanosheet layer. Source: Onto Innovation

Techniques like spectroscopic ellipsometry or reflectometry from gratings (scatterometry) can measure CDs and investigate feature shapes. KLA describes scatterometry as using broadband light to illuminate a target to derive measurements. The reflected signal is fed into algorithms that compare the signal to a library of models created based on known material properties and other data to see 3D structures. The company’s latest OCD and shape metrology system identifies subtle variations (in CD, high k and metal gate recess, side wall angle, resist height, hard mask height, pitch walking) across a range of process layers. An improved stage and new measurement modules help accelerate throughput.

Chipmakers rely on AI engines and deep computing in metrology just to handle the data streams. “They do the modeling data for what we should be looking at that day, and that helps us out,” said Subodh Kulkarni, CEO of CyberOptics. “But they want us to give them speedy resolution and accuracy. That’s incredibly difficult to deliver. We’re ultimately relying on things like the resolution of CMOS and the bandwidth of GPUs to crunch all that data. So in a way, we’re relying on those chips to develop inspection solutions for those chips.”

In addition to massive data crunching, data from different tools must be combined seamlessly. “Hybrid metrology is a prevailing trend, because each metrology technique is so unique and has such defined strengths and weaknesses,” said Lior Levin, director of product marketing at Bruker. “No single metrology can cover all needs.”

The hybrid approach is well accepted. “System manufacturers are putting two distinct technologies into one system,” said Hector Lara, Bruker’s director and business manager for Microelectronics AFM. He says Bruker has decided against that approach based on real-world experience, which has shown it leads to sub-optimal performance.

On the other hand, hybrid tools can save time and allow a smaller footprint in fabs. Park Systems, for example, integrates AFM precision with white light interferometry (WLI) into a single instrument. Its purpose, according to Stefan Kaemmer, president of Park Systems Americas, is in-line throughput. While the WLI can quickly spot a defect, “You can just move the sample over a couple of centimeters to the AFM head and not have to take the time to unload it and then load it on another tool,” Kaemmer said.

Bruker, meanwhile, offers a combination of X-ray diffraction (XRD)/X-ray reflectometry (XRR) and X-ray fluorescence (XRF)/XRR for 3D logic applications. However, “for the vast majority of applications, the approach is a very specialized tool with a single metrology,” Levin said. “Then you hybridize the data. That’s the best alternative.”

What AFMs provide
AFMs are finding traction in nanosheet inspection because of their ability to distinguish fine details, a capability already proven in 3D NAND and DRAM production. “In AFM, we don’t really find the defects,” Kaemmer explained. “Predominantly, we read the defect map coming typically from some KLA tool and then we go to whatever the customer picks to closely examine. Why that’s useful is the optical tool tells you there’s a defect, but one defect could actually be three smaller defects that are so close together the optical tool can’t differentiate them.”

The standard joke about AFMs is that their operation was easier to explain when they were first developed nearly forty years ago. In 1985, when record players were in every home, it required little to imagine an instrument in which a sharp tip extended from a cantilevered arm felt its way along a surface to produce signals. With electromagnetic (and sometimes chemical) modifications, that is essentially the hardware design of all modern AFMs. There are now many variations of tip geometries, from pyramids to cones, in a range of materials including silicon, diamond, and tungsten.

In one mode of operation, tapping, the cantilever is put into oscillation at its natural resonant frequency, giving the AFM controlling systems greater precision of force control, resulting in a nanometer scale spatial topographic rendering of the semiconductor structure. The second sub-resonant mode enables greatest force control during tip/sample interaction. That approach becomes invaluable for high-aspect structures rendering high-accuracy depth measurements, and in some structures, sidewall angles and roughness.

Today’s commercial production tools are geared to specific applications, such as defect characterization or surface profile measurement. Unlike optical microscopes, where improvements center on improved resolution, AFMs are looking at subtle profile changes in bond pads for hybrid bonding, for instance, or to reveal defect characteristics like molecular adhesion.

“Bonding is really a sweet spot for AFM,” said Sean Hand, senior staff applications scientist at Bruker. “It’s really planar, it’s flat, we’re able to see the nanoscale roughness, and the nanoscale slope changes that are important.”

Additionally, because tips can exert enough force to move particles, AFMs can both find errors and correct them. They have been used in production to remove debris and make pattern adjustments on lithography masks for nearly two decades. Figure 3 (below) shows a probe-based particle removal during lithography process for advanced node development. Contaminants are removed from EUV masks, allowing the photomask to be quickly returned to production use. That extends the life of the reticle, and avoids surface degradation caused by wet cleaning.

AFM-based particle removal is a significantly lower-cost dry cleaning process and adds no residual contamination to the photomask surface, which can degrade mask life. Surface interaction is local to the defect, which minimizes the potential for contamination of other mask areas. The high precision of the process allows for cleaning within fragile mask features without risk of damage.

Fig. 3: Example of pattern repair. Source: Bruker

Fig. 3: Example of pattern repair. Source: Bruker

An application using probe-based particle removal is used in the lithography process for advanced node development. Contamination removal on EUV masks in production allows the photomask to be quickly returned to production use. This dry cleaning removal process may extend mask life while avoiding surface degradation caused by wet cleaning.

AFMs also are used to evaluate the many photoresist candidates for high-NA EUV, including metal oxide resists and more traditional chemically amplified resists. “With the thin resist evaluation of high NA EUV studies, now you have thin, resist trenches that are much more shallow,” said Anne-Laure Charley, R&D metrology manager at Imec. “And that becomes a very nice use case for AFM.”

The drawback to AFMs, however, is that they are limited to surface characterization. They cannot measure the thickness of layers, and can be limited in terms of deep 3D profile information. Charley recently co-authored a paper that explores a deep-learning-enabled correction for the problem of vertical (z) drift in AFMs. “If you have a structure with a small trench opening, but which is very deep, you will not be able to answer with the tip at the bottom of the trench, and you will not then be able to characterize the full edge depth and also the profile at the bottom of the trench,” she said.

Raman spectroscopy
Raman spectroscopy, which relies on the analysis of inelastically scattered light, is a well-established offline technique for materials characterization that is moving its way inline into fabs. According to IBM’s Felix, it is likely to come online to answer the difficult questions of 3D metrology. “There’s a suite of wafer characterization techniques that historically have been offline techniques. For example, Raman spectroscopy lets you really probe what the bonding looks like,” he said. “But with nanosheet, this is no longer a data set you can just spot-check and have it be only one-way information. We have to use that data in a much different way. Bringing these techniques into the fab and being able to use them non-destructively on a wafer that keeps moving is really what’s required because of the complexity of the material set and the geometries.”

XRD/XRF
In addition to AFM, other powerful techniques are being pulled into the nanosheet metrology arsenal. Bruker, for example, is employing X-ray diffraction (XRD), the crystallography technique with which Rosalind Franklin created the famous “Photograph 51” to show the helical structure of DNA in 1952.

According to Levin, during the height of finFET development, companies adopted XRD technology, but mainly for R&D. “It looks like in this generation of devices, X-ray metrology adoption is much higher.”

“For the gate all around, we have both XRD — the most advanced XRD, the high brightness source XRD, for measurement of the nanosheet stack — combined with XRF,” said Levin. “Both of them are to measure the residue part, making sure everything is connected, as well as those recessed edge steps. An XRF can give a very accurate volumetric measurement. It can measure single atoms. So in a very sensitive manner, you can measure the recessed edge of the material that is remaining after the recessed etch. And it’s a direct measurement that doesn’t require any calibration. The signal you get is directly proportional to what you’re looking to measure. So there’s significant adoption of these two techniques for GAA initial development.”

Matthew Wormington, chief technologist at Bruker Semi X-ray, gave more details: “High resolution X-ray diffraction and X-ray reflectometry are two techniques that are very sensitive to the individual layer thicknesses and to the compositions, which are key for controlling some of the x parameters downstream in the 3D process. The gate-all-around structure is built on engineered substrates. The first step is planar structures, a periodic array of silicon and silicon germanium layers. X-ray measurement is critical in that very key step because everything is built on top of that. It’s a key enabling measurement. So the existing techniques become much more valuable, because if you don’t get your base substrate correct — not just the silicon but the SiGe/Si multilayer structure — everything following it is challenged.”

Conclusion
The introduction of nanosheet transistors and other 3D structures is calling for wider usage of tools like AFM, X-ray systems, ellipsometry and Raman spectroscopy. And new processes, like hybrid bonding, leads to older processes being brought in for new applications. Imec’s Charley said, “There are some specific challenges that we see linked to stacking of wafers. You eventually need to measure through silicon because when you start to stack two wafers on top of each other, you need to measure or inspect through the backside and eventually you still have a relatively thick silicon. And that’s implies working with different wavelengths, in particular infrared. So vendors are developing specific overlay tools using infrared for these kinds of use cases.”

As for who will ultimately drive the research, it depends on when you ask that question. “The roadmap for technology is always bi-directional,” said Lior. “It’s hard to quantify, but roughly half comes from the technology side from what is possible, and half comes from what’s needed in the marketplace. Every two or three years we have a new generation of tools.”

References
D. Cerbu, et. al., “Deep Learning-Enabled Vertical Drift Artefact Correction for AFM Images,” Proc. SPIE Metrology, Inspection, and Process Control XXXVI, May 2022; doi: 10.1117/12.2614029

A.A. Sifat, J. Jahng, and E.O. Potma, “Photo-Induced Force Microscopy (PiFM) — Principles and Implementations,” Chem. Soc. Rev., 2022,51, 4208-4222. https://pubs.rsc.org/en/content/articlelanding/2022/cs/d2cs00052k

Mary A. Breton, Daniel Schmidt, Andrew Greene, Julien Frougier, and Nelson Felix, “Review of nanosheet metrology opportunities for technology readiness,” J. of Micro/Nanopatterning, Materials, and Metrology, 21(2), 021206 (2022). https://doi.org/10.1117/1.JMM.21.2.021206

Daniel Schmidt, Curtis Durfee, Juntao Li, Nicolas Loubet, Aron Cepler, Lior Neeman, Noga Meir, Jacob Ofek, Yonatan Oren, and Daniel Fishman, “In-line Raman spectroscopy for gate-all-around nanosheet device manufacturing,” J. of Micro/Nanopatterning, Materials, and Metrology, 21(2), 021203 (2022). https://doi.org/10.1117/1.JMM.21.2.021203

Related stories
Speeding Up The R&D Metrology Process
The goal is to use fab-like methods in the lab, but that’s not easy.

Metrology Challenges For Gate-All-Around
Why future nodes will require new equipment and approaches.

Contact Mode versus Tapping Mode AFM



Leave a Reply


(Note: This name will be displayed publicly)