Photomask Challenges At 3nm And Beyond

What are the next lithography-related issues as device scaling continues to the next process nodes?

popularity

Experts at the Table: Semiconductor Engineering sat down to discuss optical and EUV photomasks issues, as well as the challenges facing the mask business, with Naoya Hayashi, research fellow at DNP; Peter Buck, director of MPC & mask defect management at Siemens Digital Industries Software; Bryan Kasprowicz, senior director of technical strategy at Hoya; and Aki Fujimura, CEO of D2S. What follows are excerpts of that conversation. To view part one of this discussion, click here.

Naoya Hayashi, research fellow at DNP; Bryan Kasprowicz, senior director of technical strategy at Hoya; Peter Buck, director of MPC & mask defect management at Siemens Digital Industries Software; and Aki Fujimura, CEO of D2S.
(L-R): Hayashi, Kasprowicz, Buck, Fujimura.

SE: Do we really need to extend the nodes and develop 3nm technologies and beyond? Is Moore’s Law ending, or just slowing?

Fujimura: Yes, we definitely need more computing per watt and per dollar, at least in the high-performance computing sub-segment of the semiconductor market. Smart home products and other IoT devices would prefer less cost over more performance. But heavy simulation like weather forecasting, bitcoin mining, or deep learning are driving demand for 3nm and beyond. And fortunately, we will continue to scale, even though Moore’s Law is changing. This industry’s ability to collaborate across disciplines and among competitors is critical. That way, the industry can continue to make progress. It’s a good thing, because what we do at D2S depends on it. We are eagerly awaiting next-generation GPUs, CPUs, memories, communication chips and others, all of which are continuing to scale along Moore’s Law. For inverse lithography technology (ILT) in the extreme ultraviolet (EUV) lithography era, we need more powerful machines for years to come to deliver better quality wafers. We could use 10X more computing power even right now. As we need our technology to target smaller and smaller geometries, the computing demand scales up on top of that. No question about it. We need more computing power.

SE: In 2018, Samsung and TSMC inserted EUV lithography for the 7nm process node. Now, using EUV, chipmakers are producing chips at 5nm. Nonetheless, we keep hearing about mask 3D effects here. What’s that about?

Kasprowicz: In EUV, you have reflective optics. If you expose light perpendicular to a mirror, it’s going to come right back into the optical column of the EUV scanner, and it’s not going to hit the wafer. For example, when you look in the mirror, you are seeing your reflection. The same thing would happen if you did this within the EUV scanner under reflective optics. So in EUV, the light must hit the mask at an angle. Since you have it at an angle, the depth and height of the EUV mask and the absorber matter. At some point, the absorber on the mask might become too tall. In this case, it’s not just ‘X’ and ‘Y’, but now you’re looking at a ‘Z’ component to it. So when you add depth to it, you start getting some so-called shadowing effects. If it wasn’t an issue, we probably would have used a thicker absorber on the EUV masks, even at 7nm or whenever people started implementing EUV lithography. As such, we tried to get the absorber as thin as possible to mitigate those mask 3D effects. So the thinner you go with the absorber, the less of an impact you have with those 3D effects, such as shadowing, telecentricity, pitch walking, and others. But this has a lot of other implications, as well. Specifically, as you try to do OPC (optical proximity correction), where you’re using smaller features, these effects really have an impact. These get worse as the pitch tightens.


Fig. 1: Cross-section of an EUV mask. EUV light hits the mask at an angle, causing a shadowing or mask 3D effect. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

SE: Today, chipmakers are working on 3nm processes in R&D. Initially, at 3nm, chipmakers will continue to use existing EUV lithography scanners with a 0.33 numerical aperture (NA). What does that mean for the EUV mask at 3nm? What about the mask 3D effects?

Hayashi: We will continue to use 0.33 NA EUV here. At that time, high-NA EUV is still not ready to use. Basically, the customer will use EUV double patterning with 0.33 NA. So in that case, mask resolution is not so challenging. But the customer wants to improve the contrast and pattern fidelity. With those requirements, we should select thinner films or absorbers to reduce the 3D effects. We should also consider using phase-shifting material to improve the contrast and the throughput. At that point, the mask type depends on the application layers. For example, phase-shift masks are quite good for contact hole type of applications. In this area, mask making and the mask materials present some challenges in production technologies, as well as for new materials.

SE: Today, in R&D, the industry is developing a next-generation EUV lithography technology called high-NA EUV that is slated for 2024/2025. What does high-NA EUV mean for the photomask industry?

Fujimura: High-NA EUV is the next-generation EUV lithography technology that increases the numerical aperture (NA) to 0.55. Since the writable resolution is wavelength divided by NA, a larger NA enables writing of smaller features accurately across manufacturing variation. One of the ways this is accomplished is by using an anamorphic 4X:8X mask. A conventional mask is 4X:4X magnification. Regardless, a mask for high-NA EUV exposes half the field size on the wafer. The mask for high-NA is the same physical size as the conventional masks in order to keep the mask infrastructure the same. That way, you can produce conventional masks and high-NA masks in the same line at the mask shops.

Kasprowicz: The current thought right now is that early on we should be able to use and leverage the existing blank materials that are being developed for advanced 0.33 NA EUV. The existing advanced binary or phase-shift masks being developed should be able to simply transition as a starting point for high-NA EUV. There shouldn’t be a lot of initial development work to integrate a mask solution for high-NA. As we progress maybe one more node, we’re going to have to start looking at perhaps an alternate multi-layer EUV blank for increased improvement of the telecentricity, reducing the penetration depth of the light into the mirror. Maybe there’s a different capping structure at that point, too. The binary EUV mask structure also may change in time if a new multi-layer is required. Phase-shift EUV masks will perhaps change as we start shrinking the feature sizes for the same reasons it happened at 0.33 NA. We could be implementing different reticle enhancement technology (RET) structures there. For the most part, a lot of the elements that are being developed for advanced 0.33 NA EUV masks should be useful for a good portion of the early stages of high-NA. Maybe a couple of years thereafter, we’ll have to consider some changes to the blank. And that’s still under discussion at the moment regarding the timing of that transition. Certainly, with regard to the blank itself, things will get tighter. The flatness specs will get tighter. The roughness specs will get tighter. Then, you have things like the spread of the wavelength on the reflectance of the mirror of the multi-layer itself. Those things will have to get tighter. We expect specs will get tighter in line with prior generation nodes and technology advances for high-NA.

Hayashi: We are working on the development of new materials for binary EUV masks and phase-shift masks for high-NA EUV. Those materials are very unusual and exotic. For the binary mask, for example, we have to choose high-k materials for the absorbers. Currently, the absorbers for EUV masks are based on tantalum materials. For next-generation binary masks, we are looking at other materials like nickel. Then, phase-shift masks will need low-n materials, including ruthenium or platinum. Those materials are basically very difficult to etch. So the mask production technology has some major challenges. We also may need a new mask-making resist, as well. The same is true for EUV lithography. For the mask, we need more resolution, maybe less than 20nm even on a 4X mask. We need a very thin high-resolution mask resist. It will not be the current material. Maybe we have to use metal-oxides type materials, much like what many are looking for in EUV lithography. So there are several material and process challenges for mask-making.

Buck: There will be continued pressure on the mask writers to improve the resolution without losing the throughput advantages that they have now. Now, we see minimum feature sizes at 30nm. If they are going to 20nm or so, there will be a need to improve the whole mask imaging infrastructure, such as the resists, the mask writers, and MPC (mask process correction), in order to meet those requirements.

Hayashi: I am curious about the anamorphic optics for high-NA EUV. Using that lens, high-NA uses 4X and 8X magnification. Perhaps it won’t have a big impact in mask making. But how to measure or guarantee the mask quality are among the challenges here. For example, how do you measure the slanted line width, which will have a different angle than designed. An EUV aerial image microscope with anamorphic optics will help to make it easier to evaluate the image on the wafer, including defect printability along with a different magnification axis.

Kasprowicz: The high-NA scanner certainly has a better resolution. But the defect size requirements and defect specs get small. From a mask blank perspective, you need better optics for actinic mask blank inspection. The wavelength doesn’t change, but you need better optics and you will likely need a better stage for positional accuracy. Obtaining zero defects is pretty difficult for EUV masks thus far. If you find them, a limited number is still okay. You just have to be able to reference them using fiducial marks or alignment marks on the EUV mask itself. Then, you send that information off. That’s where the stage accuracy of the blank inspection tool comes in. Once you find the defects, you have to be within reason that your customer can find them when they’re doing their defect mitigation schemes. And then on the pattern inspection side, there are some challenges. There is some uncertainty regarding the impact of the anamorphic lens. When you’re looking at anamorphic and you have a defect, you’re more tolerant in one direction perhaps over the other direction because of the magnification difference. I’m expecting that there will have to be some extensive work done to really understand that. Maybe in-situ AIMS inspection is performed during blank or pattern inspection. Certainly, understanding how to characterize and classify those defects during the post pattern stages in mask production is going to be key. And it gets even more difficult as we talked about phase shift, where you have some transmission and phase associated with that. That’s going to be different than it is for binary, so that needs to be understood.

Buck: Anamorphic imaging has a number of challenges. For example, 45° angles become skew edges when you do the anamorphic scaling between OPC and mask making. Anamorphic scaling of curvilinear structures is even more complex. The effect of mask linearity signatures is different in ‘X’ and ‘Y.’ There are potentially axis dependent mask accuracy, linearity and minimum feature size specifications. It is possible that there will be a preferred axis for the critical features, which would impact the design methodology to some extent. If AIMS will be used for defect printability validation, anamorphic AIMS tools will be required, adding to the cost of producing anamorphic masks. Perhaps defect printability simulation can play a role here as a temporary solution until an anamorphic EUV AIMS tool is available.

Related Stories

Business, Technology Challenges Increase For Photomasks (Part 1 of above roundtable).

Gearing Up For High-NA EUV

Why Mask Blanks Are Critical

EUV Pellicles Finally Ready

Finding, Predicting EUV Stochastic Defects

The Quest For Curvilinear Photomasks



1 comments

Rajeev Vadjikar says:

There does not seem to be any projections for shift to X-ray lithography. The mask material issues become more difficult due to larger depth of penetration of X-rays.

Leave a Reply


(Note: This name will be displayed publicly)