Finding Defects In EUV Masks

New litho technology is in production, but not everything is working perfectly yet.

popularity

Extreme ultraviolet (EUV) lithography is finally in production at advanced nodes, but there are still several challenges with the technology, such as EUV mask defects.

Defects are unwanted deviations in chips, which can impact yield and performance. They can crop up during the chip manufacturing process, including the production of a mask or photomask, sometimes called a reticle. Fortunately, several companies are developing or shipping new mask inspection systems that can find defects in EUV-based photomasks. But this equipment is immature and expensive, and there are still some gaps.

EUV lithography itself makes use of a scanner that patterns tiny features on a chip in a fab, enabling devices at 7nm and beyond. But before chips are produced in a fab, chipmakers require a photomask. For this, a device maker designs a chip, which is then translated into a file format. Using various equipment in a photomask facility, the file format is transformed into a mask, which is basically a master template for an IC design.

In the fab, the mask and wafer are placed in a lithography scanner. The scanner then projects light through the mask onto the wafer, creating patterns on the wafer.

Not all masks are alike. For years, chipmakers have used traditional optical-based masks. EUV requires a different and specialized mask, and that adds new challenges. While it’s critical to make defect-free masks of all types, it’s harder with EUV masks, which are more complex than traditional optical masks. And if the mask has a defect, the irregularities might get printed on the wafer, which in turn can impact yield or kill a die.

The state of EUV masks is a mixed picture today. “EUV mask yields are currently adequate for the application of the contact and via layers in chips,” said Harry Levinson, principal at HJL Lithography. “But the yields of very low defect blanks need to improve to support high volumes of masks for 5nm and beyond.”

That’s where mask inspection fits in. These systems find defects in all mask types. The traditional inspection equipment is capable of finding defects in EUV masks, but these tools are being stretched to the limits and may soon run out of steam.

The industry requires a new and specialized EUV mask inspection tools, which are shipping or in R&D. Among them:

  • Lasertec recently shipped the world’s first actinic patterned mask inspection system to one customer—Intel. Using the same 13.5nm wavelength as EUV, actinic technology has better sensitivities than traditional inspection systems.
  • Others are developing different actinic systems for EUV mask inspection.
  • KLA and others are developing multi-beam e-beam inspection tools for EUV masks.
  • EUV pellicles, part of the mask infrastructure, are still in R&D. A pellicle is a thin membrane that covers the mask, which prevents particles from landing on the reticle.

Mask landscape
For years, chipmakers have used optical-based lithography systems with different wavelengths (248nm and 193nm), as well as other techniques to pattern the features on chips.

Several types of masks are optimized for optical lithography systems. These are generally called optical masks. An optical mask consists of an opaque layer of chrome on a glass substrate.

Optical masks are produced by two types of vendors—captive and merchant. Intel, Samsung, TSMC and other chipmakers are captive mask makers. Intel makes masks for its own chips. TSMC not only produces chips for customers, but it can also make the reticles for them.

DNP, Photronics and Toppan are merchant mask makers, which produce photomasks for outside customers. So customers have several choices. They can procure optical masks from captive and/or merchant vendors.

The landscape is changing on several fronts, however. Today’s 193nm immersion lithography with multiple patterning can pattern the features down to 7nm. But it’s becoming unwieldy to use these technologies at 5nm and beyond.

“The reason why 193nm immersion lithography is becoming more challenging is because in order to expose one layer of a wafer pattern, you have to do multiple patterning. And then you have to line them up and you have all these problems,” said Aki Fujimura, chief executive of D2S.

That’s why the industry needs EUV. It can pattern smaller features and simplifies the process. But EUV took longer than expected to arrive due to several delays. Recently, though, Samsung and TSMC inserted EUV for production at 7nm, with 5nm in R&D. Intel also is developing EUV.

Chipmakers are using ASML’s EUV scanners, which require an EUV-based mask. EUV and optical masks are different. “In optical, it’s a transmission mask, so you expose light through it. Whatever comes through the glass will end up on your wafer,” explained Bryan Kasprowicz, director of technology and strategy at Photronics. “An EUV mask is reflective and it’s made out of mirrors. So you’re reflecting light at a certain angle off of the mask and through the mirrors, or the lenses of mirrors in this case, onto the wafer.”

For years, several photomask makers have been developing and shipping EUV masks. Today’s EUV masks are suitable for production at 7nm, but they are expensive with relatively low yields.

“In any new production ramp, there will always be low yields to start and this is the case for mask yields,” said Patrick Ho, an analyst with Stifel Nicolaus. “In time, I expect yields to improve. As the industry has displayed time and time again, they will figure it out.”

Making EUV masks is also expensive. Traditional mask equipment is used to make optical masks. Some tools can be repurposed to make EUV masks, but EUV masks require some specialized, expensive equipment.

Because of the costs, Intel, Samsung and TSMC are among the only companies that can afford to assemble an EUV mask production line. Merchant mask makers can produce EUV masks to one degree or another, but they don’t have all of the tools in place. So for now, the bulk of EUV mask production will be handled by the captives.

Making blanks
To make a photomask, the first step is to create a mask blank. Made by a mask blank vendor, the blank serves as the base structure of a mask. Optical mask blanks consist of an opaque layer of chrome on a glass substrate.

In comparison, an EUV mask blank consists of 40 to 50 alternating layers of silicon and molybdenum on top of a substrate, resulting in a multi-layer stack that is 250nm to 350nm thick. A ruthenium-based capping layer is deposited on the stack, followed by an absorber based on tantalum.


Figure 1: Cross-section of an EUV mask. In EUV, light hits the mask at an angle of 6°. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

The multi-layer stack is developed using deposition tools. “The main challenge for EUV mask blanks using ion beam deposition is particle defects. Particle defects are crucial for mask blank yield and will impact overall reticle cost structure. It will become more challenging at advanced technology nodes,” said Meng Lee, director of product marketing at Veeco. “We have improved IBD over the course of last 20 years to meet the stringent particle defect requirements as the technology node progress. Today, Veeco’s ion beam deposition technology has been qualified for 7nm and 5nm node requirements. We are working aggressively with our key customers to release several advanced features within the IBD system design that will address 3nm node and beyond.”

At times, though, this or other processes can create defects in blanks, such as particulates, pits and bumps. “In general, for EUV we have several kinds of defects,” said Banqiu Wu, senior director of process development at Applied Materials, in a recent interview. “The first one is phase defects. That one is mainly contributed from the substrate. After the multi-layer deposition step, the distortion will transfer to the top through the whole layer.”

EUV blanks also are prone to amplitude defects. These defects are surface particles and pits, which can cause contrast changes.

Today, the defect levels in EUV blanks are in the single-digit range, but the yields need to improve. Plus, there is a shortage of high-quality, low-defect EUV blanks.

Meanwhile, to find defects, KLA and Lasertec have developed optical inspection tools for EUV mask blanks. Then, not long ago, Lasertec shipped the world’s first actinic blank inspection (ABI) system. Actinic technology uses the same 13.5nm wavelength as EUV.

Actinic is required to find problematic phase defects in EUV blanks. “If undetected, these phase defects can print and kill all of the chips printed with that part of the mask,” said Hiroki Miyai, general manager at Lasertec, in a recent paper. “These phase defects can only be correctly detected by using the same 13.5nm actinic EUV wavelength as the lithography tool.”

The problem is that there aren’t enough ABI tools in the market to keep up with demand. “One bottleneck has been the actinic blank inspection tool, but there should be multiple tools operating soon,” HJL’s Levinson said.

Once the mask blank defects are located by the inspection tool, they are marked and covered by the absorber material. Then, the mask blank is shipped to the photomask vendor.

Optical vs. actinic inspection
At the mask maker, a blank is processed into a photomask. To make a mask, the blank is patterned, etched, repaired and inspected. A pellicle is mounted on the mask, which is then shipped to the fab.

First, a system called an e-beam mask writer patterns the mask based on a given IC design. Then the mask is etched and cleaned.

At that point or before, an inspection system is used to look for defects. An inspection step is also performed at the end of the process. If a defect is detected, the defect can be repaired using a repair system. Not all defects can be repaired, however.

For optical masks, photomask makers use optical mask inspection systems for defect detection. Applied Materials, KLA, Lasertec and NuFlare sell optical-based mask inspection tools.

Using 193nm or other wavelengths, optical systems use various techniques to find defects. In addition, there are two methodologies for defect inspection — die-to-die and die-to-database.

Some systems are equipped with only die-to-die algorithms. Others have both die-to-die and die-to-database. “Mask makers use both die-to-die and die-to-database, depending on the application,” said Byung Gook Kim, chief executive of ESOL, a mask equipment vendor.

In die-to-die, several chip designs or dies are assembled on the same mask. The dies are identical. Then, the optical inspection system compares one die to another one. If there is a difference, a defect may be present.

Die-to-die doesn’t work for masks with a single die. Single die masks involve larger chips like microprocessors.

That’s where die-to-database fits in. For this, the inspection tool compares the die to the design data via a database. Besides larger die, die-to-database inspection is used for developing and debugging a new process. “Simulated database images take a longer time,” Kim said. “If there are no complex requirements, die-to-die is much easier.”

Until recently, optical inspection tools were the only options available for EUV patterned mask inspection. Today’s optical tools can inspect EUV masks. For example, KLA’s optical tool makes use of off-axis illumination techniques to perform EUV mask inspection.

“This reticle inspection tool can cover all of the different inspection modes that the customers are dealing with,” said Ahmad Khan, executive vice president and president of the Semiconductor Process Control group at KLA, in a recent presentation.

For now, optical can do the job. “This assessment is a valid one for today’s initial rollout of EUV and masks. Inspection of masks remain a very challenging process. To date, KLA has dominated this segment. I do not believe this will change any time soon,” Stifel Nicolaus’ Ho said. “At the same time, the complexities of EUV masks require the need for greater inspection to catch any defects, which can have a larger detriment to yields than traditional lithography masks.”

The problem with optical is resolution. Optical tools can handle current EUV masks, but they run out of steam around 20nm to 16nm half-pitch.

The pellicle is another issue. After the mask has been made, a pellicle is mounted on the reticle. Pellicles prevent particles from landing on the mask.

A pellicle for optical masks is based on a thin polymer material. In comparison, ASML, the sole supplier of EUV pellicles, has developed a polysilicon-based pellicle that’s 50nm thick.

The problem is that optical tools can’t inspect EUV masks with the pellicle on top. Unfortunately, the polysilicon-based material is opaque at 193nm wavelengths.

So ASML has developed a retractable pellicle. In operation, when it’s time to inspect a mask, the EUV pellicle is automatically raised and a tool inspects the mask. Once that task is completed, the pellicle is automatically lowered and re-attached to the EUV mask.

For now, though, this is a moot point. EUV pellicles are still not ready for production. So Samsung and TSMC have moved into EUV production without a pellicle, which increases the chance of particles landing on EUV masks. As a result, chipmakers must clean and inspect the mask often in the fab, which is time-consuming.

Needless to say, Intel, Samsung and TSMC want EUV pellicles, but they must meet spec. EUV pellicles must have a 90% transmission rate, and the current pellicles are below spec.

“If you are shining the light through the mask like 193nm immersion lithography, it only goes through the pellicle once,” D2S’ Fujimura said. “EUV light needs to go through the pellicle twice for EUV lithography, because EUV masks are reflective. If you have 85% transmission for the pellicle, then it’s actually 85% square to get it back. So you have a huge loss from that.”

Meanwhile, at 7nm/5nm and beyond, Intel, Samsung and TSMC will require new inspection equipment beyond optical, namely actinic patterned mask inspection (APMI). APMI is different than ABI. But like ABI, APMI uses 13.5nm wavelength technology.

For EUV mask inspection, photomask makers will use both APMI and optical. Optical will be used for many applications, while APMI will be deployed for more stringent requirements.

After years of R&D, Lasertec recently introduced an APMI system capable of detecting sub-20nm mask defects. “One of the merits of using actinic inspection is its high image resolution,” Lasertec’s Miyai said. “Because of the much shorter wavelength, actinic inspection provides high resolution and high contrast images.”

APMI is important for other reasons. Unlike optical systems, APMI can inspect the EUV mask through an EUV pellicle. As a result, a mask maker doesn’t need to remove the pellicle to inspect the mask.

Lasertec’s first APMI system has been installed at Intel, which has assembled an EUV photomask production line as part of its ongoing efforts to bring up EUV lithography in the fab.

“APMI was one of the remaining gaps in the EUV infrastructure, though we plan to enter production without it, if necessary,” said Mark Phillips, a fellow and director of lithography hardware and solutions at Intel, in a recent presentation. “The trouble is that state-of-the-art optical and e-beam inspection tools cannot detect all printable defects, especially multi-layer defects. However, Lasertec’s APMI tool is now operational. And it is extendable to high-NA through enhancements.”

Phillips said the APMI tool is capable of finding defects in full-chip die-to-die inspections. But the system doesn’t support die-to-database. Chipmakers want both. Lasertec is developing die-to-database capabilities.

There are other issues with APMI. Only a few mask makers can afford it. “We need to see more data on the performance of Lasertec’s tool, but this does seem to be the right direction, if not the solution. Source reliability needs improvement, but isn’t a show-stopper,” HJL’s Levinson said.

Other mask tools are also in the works. For example, Zeiss sells an actinic system called AIMS. After a defect is spotted on the mask, the defect can potentially be repaired. The AIMS tool ensures the defect was repaired and won’t get printed on the wafer.

ESOL, meanwhile, is developing a lower cost actinic microscope for EUV mask inspection. “The main target of our microscope is defect review,” ESOL’s Kim said. “ESOL is trying to make a cost-effective EUV tool with our high harmonic laser. Our high harmonic EUV laser tool doesn’t make use of a plasma formation. It’s clean and the maintenance is low. In addition, we are using a point scanning method. That’s different than the current approach.”

In addition, still in R&D, KLA and others are working on multi-beam e-beam tools for EUV mask inspection. Single-beam e-beam inspection tools have fine resolutions, but they are slow. Using multiple beams, a multi-beam tool is faster.

Conclusion
The big news is that EUV is finally in production after years of delays. The other good news is that there are some new solutions for EUV mask inspection.

Now the industry must work on the other issues with EUV, namely mask defects, pellicles and resists. Those issues can be solved, but it will take more time and money.

Related Stories

EUV Pellicle, Uptime And Resist Issues Continue

Making And Protecting Advanced Masks

Mask Making Issues With EUV

Inspecting, Patterning EUV Masks

Single Vs. Multi-Patterning EUV



Leave a Reply


(Note: This name will be displayed publicly)