High-NA EUV May Be Closer Than It Appears

Tools are coming, but advanced resists and masks need to keep pace.

popularity

High-NA EUV is on track to enable scaling down to the Angstrom level, setting the stage for chips with even higher transistor counts and a whole new wave of tools, materials, and system architectures.

At the recent SPIE Advanced Lithography conference, Mark Phillips, director of lithography hardware and solutions at Intel, reiterated the company’s intention to deploy the technology in high-volume production in 2025. While many observers see this timeline as aggressive, the company likely hopes to avoid — or at least delay — the need for multi-patterning processes with EUV.

The benefits of high-NA EUV systems can be summarized in one word — resolution. Increasing the aperture to 0.55, rather than 0.33 as in current exposure systems, gives a proportional improvement in the achievable critical dimension, perhaps as low as 8nm for 0.5 NA EUV relative to 13nm for the 0.33 NA system.

Equation CD = k1*λ/NA

Unfortunately, production high-NA EUV scanners don’t exist yet. In work presented at SPIE last month, ASML and Zeiss reported that although development is on track, the first system installation is not expected until 2023. The transition from 0.33 to 0.55 NA is less radical than the initial introduction of EUV lithography, but the lithography ecosystem includes changes to more than just the scanner. To insert high-NA systems into volume manufacturing by 2025, the industry will need improvements to the photomask, the resist stack, and other aspects of the pattern transfer process.

Fig. 1: EUV optic being assembled into a system frame. Source: Zeiss

Fig. 1: EUV optic being assembled into a system frame. Source: Zeiss

The fundamental challenge is that a larger numerical aperture causes EUV photons to strike the wafer at a lower angle of incidence, reducing the depth of focus. This lower angle exacerbates 3D mask effects and complicates formation of a latent image in the resist.

Fig. 2: Cross-section of an EUV mask. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

Fig. 2: Cross-section of an EUV mask. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

Masks have thickness
While optical lithography (365nm to 193nm) systems utilize refractive optics, EUV systems depend on reflective optics. Incoming, 13.5nm wavelength photons strike a multilayer mirror — currently composed of molybdenum/silicon bilayers — and are reflected back out at the desired angle (see Fig. 2). The photomask creates its pattern by placing an absorber layer in the path of the reflected photons.

While it’s convenient to visualize the mask as a flat mirror with two-dimensional absorber pattern on top, it’s actually a three-dimensional object. The plane of reflection lies inside the multilayer, at a depth of about 50nm with current materials. The absorber layer has a thickness, a refractive index (n), and an extinction coefficient (k), all of which affect the intensity distribution it creates.

At higher apertures, the photons impact the mask at a shallower angle, casting a longer shadow relative to the pattern dimensions. The boundary between “dark,” fully blocked regions and “light,” fully exposed regions becomes gray, reducing image contrast.

These effects are not new. Phase shift masks have been used in production since the 90nm node. In 2020, Andreas Erdmann and colleagues at the Fraunhofer Institute, Imec, ASML, and Zeiss systematically analyzed the effects of EUV mask materials on imaging behavior. [1] But the imminent adoption of high-NA EUV systems has pushed 3D mask effects to the forefront. Several options are available to reduce the effective absorber height and thus the impact of 3D mask effects.

The first and simplest is to reduce the thickness of the absorber material. Kurt Ronse, advanced patterning program director at Imec, said the first layers patterned by high-NA EUV are likely to have relatively relaxed dimensions, around 28nm. Simply reducing the absorber height should provide sufficient contrast. As features continue to shrink, though, manufacturers will need to reconsider the absorber material. Erdmann noted that the tantalum-based absorber that is currently used has relatively poor optical characteristics. Reducing the refractive index of the absorber would improve dose-to-size characteristics, achieving smaller features at a constant exposure dose. Increasing the extinction coefficient, meanwhile, reduces three-dimensional effects.

Unfortunately, n and k are not independent parameters that mask makers can simply set on a process dial. They are material properties, and as such are related to each other and to the other characteristics of the absorber. In order to adopt a new material, mask makers must be able to etch it and to repair defects. Reactive ion etching, currently used for tantalum absorbers, is an option for some candidate materials, but a new absorber is still likely to require a new etch process and new chemistry. Contact layers and metal layers have different requirements and may need different absorbers. At this time, Ronse said, no consensus choice has emerged. In order to proceed with process development, mask makers need additional guidance from the industry.

Even further out on the horizon, a new multilayer mask blank with a different extinction coefficient could reduce the effective depth of the reflective plane. Replacing molybdenum with ruthenium, for example, would offer a 40nm reflection depth. Changing the multilayer material is an even more complex endeavor than replacing the absorber, though. The new mask blank will need to achieve the same or better thickness uniformity and defect specifications. Though it may ultimately be necessary, Ronse said, a new multilayer won’t happen soon.

Another change on the mask-making side is from variable shaped beam (VSB) e-beam mask writers to multibeam mask writers. “Multibeam writers are better for EUV because it takes a lot more energy to expose the resist and that create heating problems. So you want to be able to use multibeam, even for simple shapes. But multibeam also enables curvilinear shapes to be manufactured on a mask without a write time penalty,” said Aki Fujimura, CEO of D2S.

Pattern transfer gets (more) complicated
After passing through the photomask’s absorber pattern, EUV photons encounter the wafer and its photoresist blanket. Reduced depth of focus makes it more difficult to keep the top of the resist stack and the wafer plane in focus at the same time. If focus errors bring adjacent features too close together, the gap fails to clear and bridging defects occur. If spaces between features are too large, the resulting photoresist features are too thin and collapse under their own weight.

In work presented at SPIE, Angélique Raley, director of Tokyo Electron’s Etch Product group, explained that without adequate depth of focus, the already narrow process window between the two regimes can disappear altogether. [2] Reducing the resist thickness both improves focus and reduces the risk of pattern collapse, but brings additional challenges as well.

The first is that thinner resists make stochastic defects more likely. The number of photons supplied by EUV exposure sources is already low, and a thinner resist is less able to absorb the photons that do arrive. Stochastic defects, manifesting as line-edge roughness, are already a major contributor to EUV yield loss.

Typically, pattern transfer processes depend on a complex stack, with photoresist, an adhesion-promoting underlayer, and a hard mask layer. The initial step replicates the resist pattern in the hard mask before transfer to the wafer. If contrast between exposed and unexposed resist features is poor, a preliminary “descumming” step may be needed. A thinner resist is more susceptible to erosion during both residue removal and the pattern transfer etch. These concerns are not new. The industry has been researching alternative resist chemistries for some time. Still, no universally accepted successor to conventional chemically amplified resists has emerged.

In chemically amplified resists, incoming photons activate photoacid generator molecules, each of which generates multiple photo acids. The photo acids in turn de-protect the backbone polymer of the resist, rendering it soluble in developer. CAR resists absorb EUV poorly, though, requiring a relatively thick layer to capture an adequate dose.

One promising alternative, metal oxide resists, instead uses incoming photons to break down tin-oxide nanoclusters. The oxide clusters are soluble in developer, while metallic tin is not. These are negative-tone resists. Exposure renders the material insoluble. Metal oxides are inherently more etch resistant and absorb more EUV photons, allowing them to achieve comparable results with a thinner layer. Unfortunately, contact holes, the likely first application for high-NA EUV exposure, require a positive tone resist.

As noted above, though, there’s more to the pattern transfer stack than the photoresist. An underlayer material, typically spin-on glass or silicon carbide, helps facilitate resist adhesion. Raley demonstrated that these materials can expand the process window between bridging and pattern collapse defects. However, the underlayer also increases the overall thickness that must be removed to transfer the pattern to the hard mask. It needs to become thinner along with the resist. However, Jae Hwan Sim and colleagues at DuPont showed that underlayer density is thickness dependent. [3] A thin, inadequately dense underlayer can allow photoacid diffusion. This behavior removes photoacid from the bottom portion of the resist, leading to incomplete development.

Conclusion
A future article will address EUV pattern transfer in greater detail. Resist erosion and feature fidelity are ongoing challenges that the industry is addressing in a variety of ways. As the SPIE meeting made clear, though, high-NA exposure systems will soon bring additional complexity, whether lithography engineers are ready or not.

 

References

[1] Andreas Erdmann, et. al., “Perspectives and tradeoffs of absorber materials for high NA EUV lithography,” J. Micro/Nanolith. MEMS MOEMS 19(4) 041001 (1 October 2020) https://doi.org/10.1117/1.JMM.19.4.041001

[2] Angélique Raley, et. al., “Outlook for high-NA EUV patterning: a holistic patterning approach to address upcoming challenges,” Proc. SPIE 12056, Advanced Etch Technology and Process Integration for Nanopatterning XI, 120560A (25 May 2022); https://doi.org/10.1117/12.2613063

[3] Jae Hwan Sim, et.al., “Thickness dependence of properties of EUV underlayer thin films,” Proc. SPIE 12055, Advances in Patterning Materials and Processes XXXIX, 120550B (25 May 2022); https://doi.org/10.1117/12.2613437

Related Articles
Photomask Challenges At 3nm And Beyond
What are the next lithography-related issues as device scaling continues to the next process nodes?
Big Changes In Materials And Processes For IC Manufacturing
Brewer Science’s CTO drills down into everything from purity and bonding to scaling and variation.
Unsolved Issues In Next-Gen Photomasks
New technologies and data formats will be required below 3nm.



1 comments

Frederick Chen says:

The half-field is a limitation. Larger dies have to be split and stitched.

Even for smaller dies, more frequent mask scans, faster stage moves would be required. This also drives up the power requirement.

Leave a Reply


(Note: This name will be displayed publicly)