Blog Review: Dec. 18


Lam Research's David Haynes finds that taking advances made at 300mm and applying them via upgrades to 200mm equipment is a cost appropriate strategy to quickly improve yield and add capacity. Synopsys' Taylor Armerding looks at which of this year's many data breaches hit corporate wallets the hardest and how the cost of privacy noncompliance is expected to rise with California's CCPA and st... » read more

Manufacturing Bits: Oct. 15


Sandia’s fab upgrade Sandia National Laboratories has completed the first phase of a three-year upgrade program in its semiconductor wafer fab. The goal of the program is to convert Sandia’s Albuquerque, N.M.-based fab from 150mm (6-inch) to 200mm (8-inch) wafer sizes. As part of the move, Sandia is converting its 0.35-micron (350nm) rad-hard process from 150mm to 200mm. The process is ... » read more

200mm Cools Off, But Not For Long


After years of acute shortages, 200mm fab capacity is finally loosening up, but the supply/demand picture could soon change with several challenges on the horizon. 200mm fabs are older facilities with more mature processes, although they still churn out a multitude of today’s critical chips, such as analog, MEMS, RF and others. From 2016 to 2018, booming demand for these and other chips ca... » read more

CEO Outlook: It Gets Much Harder From Here


Semiconductor Engineering sat down to discuss what's changing across the semiconductor industry with Wally Rhines, CEO emeritus at Mentor, a Siemens Business; Jack Harding, president and CEO of eSilicon; John Kibarian, president and CEO of PDF Solutions; and John Chong, vice president of product and business development for Kionix. What follows are excerpts of that discussion, which was held in... » read more

SiC Demand Growing Faster Than Supply


The silicon carbide (SiC) industry is in the midst of a major expansion campaign, but suppliers are struggling to meet potential demand for SiC power devices and wafers in the market. In just one example of the expansion efforts, Cree plans to invest up to $1 billion to increase its SiC fab and wafer capacities. As part of the plan, Cree is developing the world’s first 200mm (8-inch) SiC f... » read more

Controlling Variability And Cost At 3nm And Beyond


Richard Gottscho, executive vice president and CTO of Lam Research, sat down with Semiconductor Engineering to talk about how to utilize more data from sensors in manufacturing equipment, the migration to new process nodes, and advancements in ALE and materials that could have a big impact on controlling costs. What follows are excerpts of that conversation. SE: As more sensors are added int... » read more

Mixed Outlook For Silicon Wafer Biz


After a period of record growth, the silicon wafer industry is off to a slow start in 2019 and facing a mixed outlook. Generally, 200mm silicon wafer supply remains tight. But demand for 300mm silicon wafers is cooling off in some segments, causing supply to move toward equilibrium after a period of shortages. On average, though, silicon wafer prices continue to rise despite the slowdown. ... » read more

China’s Foundry Biz Takes Big Leap Forward


China continues to advance its foundry industry with huge investments in new fabs and technology, despite trade tensions and a slowdown in the IC market. China has the most fab projects in the world, with 30 new facilities or lines in construction or on the drawing board, according to data from SEMI’s World Fab Forecast Report. Of those, 13 fabs are targeted for the foundry market, accordi... » read more

Top Stories For 2018


Each year, I look back to see what articles people like to read. The first thing that has amazed me each year at Semiconductor Engineering is that what should be a strong bias towards articles published early in the year never seems to play out. The same is true this year. More than half of the top articles were published after July. The second thing that remains constant is that people love... » read more

Fab Equipment Challenges For 2019


After a period of record growth, the semiconductor equipment industry is facing a slowdown in 2019, in addition to several technical challenges that still need to be resolved. Generally, the equipment industry saw enormous demand in 2017, and the momentum extended into the first part of 2018. But then the memory market began deteriorating in the middle of this year, causing both DRAM and NAND ... » read more

← Older posts Newer posts →