Foundries See Growth, New Issues In 2019


The silicon foundry business is poised for growth in 2019, although the industry faces several challenges across a number of market segments next year. Generally, foundry vendors saw steady growth in 2018, but many are ending the year on a sour note. Weak demand for Apple’s new iPhone XR and a downturn in the cryptocurrency market have impacted several IC suppliers and foundries, causing t... » read more

EUV’s Uncertain Future


The ground appears to be solidifying under EUV. Intel announced this week it is reducing its stake in ASML to less than 3%, the second such move in a year. Apparently ASML no longer needs outside help. According to the company's earnings report, ASML turned in net sales of €2.776 billion, a slight increase over the €2.447 billion (GAAP) the company reported in Q3 and way up over the €... » read more

Wanted: Mask Equipment for Mature Nodes


Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment. The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are bu... » read more

The Hidden Cost Of Tariffs


The impact of tariffs on the semiconductor industry is just now being assessed, but there's a lot more to this picture than import and export duties. In fact, the biggest and longest-lasting effects may have less to do with taxing imports than what happens across the global supply chain that includes everything from manufacturing equipment to materials to investment capital. Import duti... » read more

Mixed Outlook For Semi Biz


Both the IC and fab equipment industries have been enjoying a boom cycle for some time, but they could be facing speed bumps and possibly turbulence in the second half of this year and into 2019. In the first half of 2018, the industry was fueled by the momentum carried over from 2017. DRAM prices remained relatively high, which contributed to the revenue growth in the overall IC industry. M... » read more

200mm Fab Crunch


Growing demand for analog, MEMS and RF chips continues to cause acute shortages for both 200mm fab capacity and equipment, and it shows no sign of letting up. Today, 200mm fab capacity is tight with a similar situation projected for the second half of 2018 and perhaps well into 2019. In fact, 2018 will likely represent the third consecutive year that 200mm fab capacity will be tight. The sam... » read more

RF SOI Wars Begin


Several foundries are expanding their fab capacities for RF SOI processes amid huge demand and shortages of this technology for smartphones. A number of foundries are increasing their 200mm RF SOI fab capacities to meet soaring demand. Then, GlobalFoundries, TowerJazz, TSMC and UMC are expanding or bringing up RF SOI processes in 300mm fabs in an apparent race to garner the first wave of RF ... » read more

Transistor Options Beyond 3nm


Despite a slowdown in chip scaling amid soaring costs, the industry continues to search for a new transistor type 5 to 10 years out—particularly for the 2nm and 1nm nodes. Specifically, the industry is pinpointing and narrowing down the transistor options for the next major nodes after 3nm. Those two nodes, called 2.5nm and 1.5nm, are slated to appear in 2027 and 2030, respectively, accord... » read more

Foundry Challenges in 2018


The silicon foundry business is expected to see steady growth in 2018, but that growth will come with several challenges. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC are migrating from the 16nm/14nm to the 10nm/7nm logic nodes. Intel already has encountered some difficulties, as the chip giant recently pushed out the volume ramp of its new 10nm process from the second half ... » read more

Will Fab Tool Boom Cycle Last?


Fab equipment spending is on pace for a record year in 2017, and it now appears that momentum could continue into 2018. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in [getkc id="208" comment="3D NAND"] and, to a lesser degree, [getkc id="93" kc_name="DRAM"]. In the logic/foundry business, however, equipment deman... » read more

← Older posts Newer posts →