Foundries See Growth, New Issues In 2019

Demand is rising for AI and automotive, flat for mobile phones, with trade uncertainty looming over everything.

popularity

The silicon foundry business is poised for growth in 2019, although the industry faces several challenges across a number of market segments next year.

Generally, foundry vendors saw steady growth in 2018, but many are ending the year on a sour note. Weak demand for Apple’s new iPhone XR and a downturn in the cryptocurrency market have impacted several IC suppliers and foundries, causing them to reduce their year-end revenue forecasts.

In addition, supply exceeded demand at 28nm, the largest foundry segment in dollar value, in 2018. And on the geopolitical front, trade tensions between the United States and China are causing uncertainty, if not angst, in the market.

In 2019, oversupply will continue to haunt the 28nm market. The trade issues are still fluid, and it’s unclear how that will impact the semiconductor business.

On the positive side, Samsung and TSMC are ramping up 7nm with a growing number of tape-outs in the fab. Then, on the other end of the spectrum, 200mm foundry capacity remains in demand. And the specialty foundry business is thriving, thanks to 5G, automotive and other applications.

In 2018, the overall foundry business is expected to grow by 6.8% in terms of revenues, according to Semico Research. Then, for 2019, Semico expects the foundry market to grow by 5.5%.

“Foundries will do well as AI, 5G, automotive and IoT drive innovative solutions,” said Joanne Itow, managing director of manufacturing at Semico. “These markets are attracting new products as well as start-ups that are depending on the foundries to provide manufacturing solutions. The excitement surrounding AI for training and edge devices translates into new business for the foundries.”

By the numbers
In 2018, the memory market outpaced the foundry segment and drove the overall growth in the semiconductor business. “The overall IC industry is expected to exceed 15% in 2018. Memory products comprise over half of that growth,” Itow said.

It’s a different story for 2019. “The overall market is expected to hit a slowdown in 2019 as memory prices begin to stall after its impressive three-year rise,” she said.

Amid a slowdown in DRAM and NAND flash, the overall semiconductor market is expected to decline by 1.6% in 2019, according to VLSI Research. In comparison, the IC market will grow by 15.5% in 2018, according to the firm.

“If we drill down by segments, most of the decline for 2019 will be driven by the memory segment. We expect memory sales to decline almost 10%. If you go to the logic segment, we do see that logic will probably be in positive territory and growing about almost 4%. So, it will be primarily a memory driven downturn in 2019,” said Andrea Lati, an analyst at VLSI Research.

Besides the mixed picture in semiconductors, economic factors and trade issues remain a concern. “We remain cautious about the foundry market in 2019, due to the impact of an escalating trade dispute and other negative macroeconomics factors,” said Steven Liu, senior vice president of corporate marketing at UMC. “The recent escalation of trade tensions, rising global crude oil prices and continuous weakening of emerging market currencies could further increase uncertainties in the broader economy.”

Meanwhile, the IC market is changing. In the past, IC growth was driven by the smartphone, but growth in the mobile market has slowed significantly.

Still, there are several drivers that will keep the foundries busy for some time. “About three years ago, we were uncertain about the next big driver in the industry. The smartphone peaked and the market has slowed down. Growth went from 20% to 30%, to 4% to 5% — or flat,” said Bami Bastani, senior vice president at GlobalFoundries. “Today, we are at the dawn of a new gold rush for semiconductors. We see startups being funded again. We see that the appetite is insatiable for data.”

This is driving the need for faster processors and high-density memory. Then, on the application front, foundries are seeing demand for chips beyond the smartphone. The emerging applications include 5G, automotive and artificial intelligence (AI).

AI is becoming a big driver in the IC industry. “We are seeing the trend of artificial intelligence moving towards edge devices, and thus on-device intelligence will be one of the key drivers,” UMC’s Liu said. “Intelligent edge or on-device intelligence requires five key features—privacy of data, lower-power computations, high-efficiency network bandwidth, an order of magnitude lower latency, and increased reliability of the edge devices. These requirements will be driving semiconductor demand on edge devices moving forward.”

5G, the follow-on to the current 4G wireless standard, is another driver. 5G enables faster data rates in smartphones. “5G networks are already being deployed in selected markets today,” said Marco Racanelli, vice president and general manager of the RF and high-performance analog group at TowerJazz. “Early adopters and heavy data users will start using 5G technology in 2019, but the real unit growth should happen in and after 2020.”

There are other drivers as well. “Electrification and connectivity trends across industries will persist as significant drivers for the foreseeable future,” said Thomas Sonderman, president of SkyWater Technology Foundry. “We also are seeing increasing demand for specialized process R&D engineering services for quantum computing, photonics, and edge computing architectures.”

Leading-edge race
The foundry business involves a broad array markets. It’s difficult to track all those, but several segments, such as the leading edge, specialty foundry, China and 200mm, are worth watching in 2019.

The leading-edge foundry market involves processes at 16nm/14nm, 10nm/7nm and beyond. At those nodes, foundries are ramping up processes based on finFET transistors. (Intel started finFET production at 22nm.)

FinFETs are different than traditional planar transistors. In finFETs, the control of the current is accomplished by implementing a gate on each of the three sides of a fin.

Fig. 1: FinFET vs. planar. Source: Lam Research

At each node, the technical challenges and costs escalate, and there are fewer customers that can afford these nodes due to soaring IC design costs.

“Modern day circuits have become far more complex and associated fabrication costs have drastically increased,” said E.S. Jung, president of Samsung’s foundry business, during a recent presentation at IEDM. “For example, the gate counts in the state-of-the-art application processors have increased 15-fold from the 45nm technology node to the latest technology node, thus making it difficult for a single company to do all the work necessary to bring a new product to the market.”

For this reason and others, the number of leading-edge foundries has dwindled over the years. In 2018, GlobalFoundries dropped out of the 7nm race due to escalating R&D costs. It also was unable to justify the return-on-investment.

Meanwhile, Intel continues to struggle at 10nm. (Intel’s technology is roughly equivalent to 7nm from the foundries.). The company, which is a bit player in the foundry segment hopes to ship 10nm in late 2019.

Others continue to push forward and move to the next nodes. But as of today, Samsung and TSMC are the only two players ramping up 7nm finFET processes in the market.

In 2019, the 7nm foundry market is projected to reach $9.8 billion, up 96.4% from $4.98 billion in 2018, according to International Business Strategies (IBS).

“7nm revenue will increase in 2019,” said Samuel Wang, an analyst at Gartner. “Apple, the main volume user of 7nm, required a supply only in the third and fourth quarter of 2018. But they will need a full-year supply of 7nm in 2019. Plus, other fabless companies are ramping up production in 7nm.”

In early 2018, TSMC moved into production at 7nm using today’s 193nm immersion lithography and multiple patterning. Then, TSMC plans to insert extreme ultraviolet (EUV) lithography for its second version of 7nm, which is slated for early 2019.

And recently, Samsung became the industry’s first chipmaker to put EUV into limited production at 7nm.

EUV promises to reduce the process steps and complexity compared to traditional lithography. In an EUV scanner, a power source converts plasma into light at 13.5nm wavelengths, enabling the system to print finer features.

But the complexity of EUV has made it more difficult to put into production than previously thought, and it won’t be a smooth transition as EUV moves from R&D into the fab in 2019.

Nonetheless, TSMC sees strong demand for 7nm, whether it’s using optical lithography or EUV. The big drivers are AI and mobile. “We expect 100, or more than 100 tape-outs, in year 2019,” said C. C. Wei, chief executive and vice chairman at TSMC, in a recent conference call.

Then, by mid-2019, TSMC plans to move into risk production with 5nm. Slated for production in 2020, TSMC’s 5nm technology is based on finFETs.

TSMC and others believe 7nm will be a long-running node. 7nm provides enough performance at the right cost for most high-end applications. In comparison, 5nm provides an incremental performance boost over 7nm, but the design costs are higher.

While Intel, Samsung and TSMC pursue 10nm/7nm and beyond, there is still a sizable market for 16nm/14nm and 12nm finFETs. 12nm is a scaled down version of 16nm/14nm. GlobalFoundries, Intel, Samsung, TSMC and UMC are shipping 16nm/14nm processes.

“Not every part or process needs to migrate to 7nm,” GlobalFoundries’ Bastani said. “Because of the cost you see hybrid solutions developing, where a lot of I/O functions can stay at 14nm or 12nm. Optimizing power and performance around 12nm can provide a competitive solution.”

For that matter, not all customers require finFETs. Analog, mixed-signal and RF don’t require advanced nodes, and planar processes are suitable.

The leading-edge planar processes are 22nm and 18nm. Both 22nm and 18nm provide an option for customers that want more performance than 28nm, but which don’t require 16nm/14nm and beyond.

There are three technology options at 22nm—bulk silicon, FD-SOI and finFETs. Intel is pushing 22nm finFETs. “TSMC is the only company that has a strong position in 22nm high-k/metal-gate bulk CMOS,” said Handel Jones, chief executive of IBS. “STMicroelectronics, GlobalFoundries, and Samsung are active in FD-SOI, and the key company in design of FD-SOI products is VeriSilicon.”

22nm also represents a starting point for the introduction of various next-generation memories in the market. These memories are promising, as they combine the speed of SRAM and the non-volatility of flash with unlimited endurance. But they have taken longer to ramp up due to cost and yield issues.

Foundries are targeting the next-generation memories for the embedded market, and vendors have generally thrown their weight behind MRAM.

“Some of the new memory technologies are already out in the market,” said Gill Lee, managing director of memory technology at Applied Materials. “We see the new memories slowly coming into the market for embedded memory applications. For embedded memory, there are two different target applications.”

The first is for cache applications in processors. “Another application is replacing embedded flash memory. That’s more in the microcontroller type of application,” Lee said.

Generally, a processor is integrated with SRAM cache, which provides fast data access. But SRAM is large and takes up valuable space on the chip. And this is where a next-generation MRAM technology called STT-MRAM fits in. STT-MRAM is fast with a smaller cell size.

There is another application for next-generation memory, as well. Typically, a microcontroller integrates an embedded memory based on NOR flash, which is used for code storage. But NOR is difficult to scale beyond 28nm, prompting the need for embedded STT-MRAM. Other memories also are vying for similar applications, such as FRAM and ReRAM.

Specialty foundry boom
Not all of the action is at the leading edge. Automotive, industrial, wireless and other sectors are driving the need for various specialty processes at mature nodes.

Automotive is a small part of the foundry business, but the sector is growing. On average, the electronics content per car is expected to climb from $312 in 2013 to $460 in 2022, according to IHS.

Analog, memory, microcontrollers, sensors and other devices are used in cars. Each chip type requires various processes.

Foundry vendors are gearing up for a push toward advanced driver-assistance systems (ADAS) and autonomous driving technology. ADAS involves various safety features in a car, such as automatic emergency braking and lane detection.

“Automotive applications, such as ADAS and autonomous driving vehicles, are increasing the use of sensors. These are then coupled to MCUs and deployed into the in-car infotainment market,” UMC’s Liu said.

5G, meanwhile, is another big driver. Global 5G hardware revenue is expected to reach $19 billion by 2022, according to IHS.

Initially, 5G wireless networks will be deployed in the sub-6 GHz frequency ranges, with millimeter-wave technology in R&D. “In 2019, a significant amount of phones will have sub-6GHz capabilities,” GlobalFoundries’ Bastani said. “What are the emerging applications for 5G? First, it’s going to be in broadband and mobile connectivity. These are driven by much faster and higher data rates.”

5G will drive the need for more RF in smartphones and the associated infrastructure. “Specifically, for RF and high-performance analog, this means a sustained strong demand and content expansion for RF SOI and SiGe (silicon-germanium) technologies,” said Amol Kalburge, head of the automotive program at TowerJazz.

RF SOI, the RF version of silicon-on-insulator (SOI) technology, has seen booming demand for switch and antenna chips in smartphones, causing shortages of RF SOI wafers in the market.

“On the RF side, 5G technology requirements bring more stringent requirements to RF SOI technology,” TowerJazz’s Racanelli said. “This will in turn continue to drive RF SOI content in smartphones/IoT devices, and therefore, expect the supply situation to remain tight.”

China and 200mm
For the IC industry, the wild card is China. China is the world’s largest market for chips, but trade issues are infusing uncertainty into the market.

In China, the foundry sector is divided into two camps—multinational and domestic. TSMC, UMC and other multinationals have fabs in China, while GlobalFoundries is building a plant. SMIC and HHGrace are among the domestic foundries in China.

2018 was a good year. “Most of the foundries based in China this year will have about a 10% revenue increase, a rate above the foundry industry average of about 7%,” Gartner’s Wang said. “TSMC’s revenue from Chinese customers jumped by 50% this year to $5.6 billion, contributing about 16% of the company’s revenue. This is due to demand from smartphones and cryptocurrency mining in China. UMC’s revenue from Chinese customers also will register a 10% increase this year.”

Going forward, 2019 looks promising. “The business should remain good in 2019,” Wang said. “Most new fabs in China are busy getting their process technologies and products qualified in 2019.”

In China, foundries are mainly developing 28nm and above. TSMC is ramping up 16nm finFETs in a new China fab.

The big danger is overcapacity. “Overcapacity should not be an issue till 2020,” he said.

Besides China, 200mm is a growing market. Growing demand for analog, MEMS and RF chips continues to cause acute shortages for 200mm foundry capacity.

200mm capacity was tight in 2018, and that may continue in 2019. “We do expect to see 200mm fabs, which typically service medium-volume legacy technology nodes, running at high utilization rates. Demand for analog and mixed-signal devices remains healthy across vertical markets,” SkyWater’s Sonderman said.

Both 300mm and 200mm capacity might be tight in 2019. “If the current forecasts for 2019 hold, and the political/macroeconomic headwinds do not strengthen unexpectedly, the capacity situation in 2019 is likely going to be similar to 2018 or may be tighter,” TowerJazz’ Kalburge said.

Summarizing the situation, UMC’s Liu said: “8-inch demand remains steady. However, we are cautious looking into 2019 given 8-inch to 12-inch legacy node migrations and U.S.-China trade tensions.”

All told, 2019 is a promising year for foundries. But economic and geopolitical factors could put a damper on the market. Plus, wafer demand could change overnight. All of these factors will keep the foundry industry on edge for some time.

Related Stories

Mixed Outlook For Semi Biz

200mm Fab Crunch

The Bumpy Road To 5G

RF SOI Wars Begin

Foundries Prepare For Battle At 22nm

EUV’s New Problem Areas