Week In Review: Manufacturing, Test


Chipmakers AMD has rolled out its new MI200 series products, the first exascale-class GPU accelerators. Using a fan-out bridge packaging technology, the MI200 series are designed for high-performance computing (HPC) and artificial intelligence (AI) applications. The MI200 series accelerators feature a multi-die GPU architecture with 128GB of HBM2e memory. Typically, the HBM2e memory stack a... » read more

Curvilinear Design Benefits For Wafers


Throughout this blog series the focus has been on curvilinear photomasks – the benefits, enablers, and challenges. It leads to the obvious question that Aki Fujimura, CEO of D2S, put to the panel of luminaries. If leading-edge mask shops are ready for curvilinear shapes on mask enabled by curvilinear ILT, multi-beam mask writers and the mask design chain, can we have curvilinear target shapes... » read more

Week In Review: Manufacturing, Test


Market research For some time, the semiconductor industry has experienced acute shortages. The automotive industry has suffered the most. When will this all end? “Shortages have become more acute for many products in the near term because the growth in demand is greater than the increase in wafer and packaging capacity that was anticipated by the foundry and semiconductor vendors. To date... » read more

Optimizing VSB Shot Count For Curvilinear Masks


The increased photomask write time using a variable-shape e-beam (VSB) writer has been a barrier to the adoption of inverse lithography technology (ILT) beyond the limited usage for hot spots. The second installment of this video blog looked at the challenge in depth. In this five-minute panel video with industry luminaries, Ezequiel Russell describes the collaborative study between his company... » read more

What About Mask Rule Checking For Curvilinear Photomasks?


The entire photomask design chain needs to be considered in the adoption of curvilinear photomasks. A broad look at the ecosystem impact was addressed in a previous video but a more in-depth look at the design chain of photomasks raises the next question – will MRC be harder and take more time? Aki Fujimura of D2S opens the nine-minute panel video with industry luminaries by providing a conce... » read more

Week In Review: Manufacturing, Test


Chipmakers and OEMs China has been working on compound semiconductors, such as gallium-nitride (GaN) and silicon carbide (SiC). Now, a China-backed company has taken a big step in the SiC and related markets. Chip supplier Nexperia, a subsidiary of China’s Wingtech Technology, has acquired Newport Wafer Fab (NWF), a U.K.-based manufacture of power and compound semiconductors, including Si... » read more

Developing A New Curvilinear Data Format


The data size generated by curvilinear masks could impact turnaround time (TAT) for photomask production and hence the adoption of curvilinear masks. In a previous blog on curvilinear masks, our panel of luminaries discuss some possible solutions in a video discussion. In this seventh video, the panel looks at some ideas to define a new curvilinear data format to reduce file sizes. Aki Fujimura... » read more

How Will The Adoption Of Curvilinear Masks Affect Turnaround Time?


Turnaround time (TAT) for photomask makers has historically increased at smaller and smaller process nodes, as reported in the eBeam Initiative Mask Makers surveys, so it’s important to look at the impact of curvilinear masks on TAT. In this sixth installment of our blog series on curvilinear masks, Aki Fujimura of D2S explores this question with luminaries in the industry during a video pane... » read more

Is The Photomask Ecosystem Ready For Curvilinear ILT?


The time it takes to write a photomask with curvilinear shapes was a major historical barrier to adoption inverse lithography technology (ILT), as discussed in the second installment of our blog series on curvilinear mask shapes. After years of development, multi-beam mask writers came into production and one of their features is the ability to write curvilinear masks without a write time penal... » read more

What’s Next In Fab Tool Technologies?


Experts at the Table: Semiconductor Engineering sat down to discuss extreme ultraviolet (EUV) lithography and other next-generation fab technologies with Jerry Chen, head of global business development for manufacturing & industrials at Nvidia; David Fried, vice president of computational products at Lam Research; Mark Shirey, vice president of marketing and applications at KLA; and Aki Fuj... » read more

← Older posts Newer posts →