What Does 2023 Have In Store For Chip Design?

Many variables could shape the coming year, but it is the unknowns and how we react to them that separate the successful companies from the rest.

popularity

Predictions seem to be easier to make during times of stability, but they are no more correct than at any other period. During more turbulent times, fewer people are courageous enough to allow their opinions to be heard. And yet it is often those views that are more well thought through, and even if they turn out not to be true, they often contain some very enlightening ideas.

2022 saw some events that will have a major impact on markets during 2023, although with no precedents for them, the exact outcomes are unknown. For example, the CHIPS Act, and corresponding investments in Europe, are designed to change the path from globalization to a more nationalistic direction, the reverse of the trend since the semiconductor industry was formed.

Government investments in technology do not have a good track record, but this could be different. “My optimism for 2023 continues to grow as the CHIPS Act begins to help accelerate innovation and manufacturing capability,” says Bob Smith, executive director for the Electronic System Design Alliance. “We’ll see investments and industry efforts that prepare and educate the skilled workforce needed to support the growth of the U.S. domestic semiconductor design and manufacturing capabilities. The open-source semiconductor design and manufacturing movement, taking root in 2022, could become another important driver for workforce development.”

Change creates opportunity. “Whereas 2022 was a year of consolidation, I expect 2023 to be one of innovation,” says Gary Campbell, executive vice president in Arm’s Central Engineering. “The ground was laid in previous years by providing the ecosystem with the tools to get creative and provide even more compelling experiences on current and future technologies. Mobile gaming is a great example, with ray tracing features on mobile expected to drive ultra-realistic gaming experiences.”

But companies have to be adaptable. “While larger semiconductor companies are experiencing business issues, smaller and more agile companies will continue to thrive and capture market share in 2023,” says Amin Shokrollahi, CEO of Kandou. “It could also be the year of technological innovation for the semiconductor industry, especially edge computing, and autonomous driving propelled by AI and ML.”

There are some big opportunities out there. “As we discovered with the impact of a global pandemic, the technology industry is vital to society’s future,” says Arm’s Campbell. “Now is our time to define the future of computing, and 2023 holds much potential for this industry to continue to change the world for the better.”

There are also growing challenges. “More focused attention will be placed on design IP protection in the design flow,” says ESDA’s Smith. “This, along with safety and security and the risk of design tampering with content, become more pronounced.”

Open source
There is a bifurcation happening around open source. The positive side is very clear to see, but an increasing number of people are becoming concerned that open-source IP and software is a conduit to technology being transferred into China and Russia. Governments may be a disruptor to this progress.

So far that has not yet happened. “RISC-V members have now shipped billions of RISC-V cores,” says Mark Himelstein, CTO at RISC-V International. “With this volume, the demand for open source and commercial software running on them grows, too. As RISC-V deployments continue to grow and expand addressable markets, we expect a robust and vibrant market for both open source and commercial IP and tools.”

But not everything is progressing as fast. “The buzz over open-source IP and tools is still growing, and the emphasis on technologies like RISC-V will shift further toward ‘freedom to innovate,'” says Frank Schirrmeister, vice president of solutions and business development at Arteris IP. “However, with more freedom, and the ability to make modifications to enable innovation, comes the need for verification. This is a somewhat sobering experience for many users in that space.”

Some technology for this is emerging. “In 2023, verification performed at the SoC subsystem and system verification will become more important, with an accelerated level of test content reuse on top of real workloads,” says Dave Kelf, CEO for Breker Verification Systems. “RISC-V application multicore processors leveraging devices from multiple companies will require increased verification as developers realize they must compete with Arm-level quality. ISA compliance, the verification of custom instructions together with the rest of the processor, and complex micro-architectures will all require greater verification automation for processors and integrated SoCs. Watch for more standardized test generators and pre-built verification platforms.”

Power and energy
The industry is certainly realizing the importance of power and energy, both in terms of the number of chip failures caused by it, and the fact that designs have become limited by power.

“The focus on power, energy, and thermal will continue,” says Arteris’ Schirrmeister. “Across the board, we will see an increasing demand for higher performance and power efficiency. As the demand for computing power continues to grow, from data centers to network and device edges, there is a need for more efficient and powerful semiconductor devices. This trend will further drive the development of new materials, designs, and manufacturing processes to deliver better performance and lower power consumption. Semiconductor IP will face increased scrutiny to meet stringent low-power demands, further increasing the pressure to optimize the power contribution of NoCs by reducing wires, registers, and NoC base components like switches.”

This is causing some companies to look at better ways of producing power efficient designs. “Monies from the $52 billion CHIPS Act will drive development efficiencies in flows spanning from C++ to GDS,” says Jeff Roane, product marketing director in the Digital & Signoff Group at Cadence. “The focus on C++ design entry comes on the heels of hyperscaler chip design activity, which reduces power and improves performance for workloads that previously ran on CPUs and GPUs. Thus, in 2023 we’ll see increased development and adoption of tools aimed at software developers giving them an easier path to more performant hardware implementations. Conceptually, this extends the definition of a compiler from today’s C++ to processor machine code, to a cross-domain compiler that takes C++ and produces processor machine code and/or RTL for implementation.”

Some markets have been concerned about efficiency for a while. “In the coming year, we will see a renewed focus on computing power, energy and thermal efficiencies,” says Campbell. “We’re already seeing this shift to power and energy savings in the infrastructure markets, particularly data centers, and expect this to continue in 2023 with increasing momentum behind performance efficiency.”

The industry itself also has to become more efficient. “Semiconductor industry leaders must continue prioritizing their focus on their sustainability initiatives and goals,” said Jackson Huang, vice president of marketing at Winbond. “We have an opportunity to help drive carbon neutrality and slow global warming. The semiconductor industry is seeing an opportunity to consume less energy and lower carbon emissions in many ways, such as increasing water recycling at plants.”

Few companies have a complete sustainability message yet. “Sustainability continues to be a popular topic with technology companies,” says Timothy Vang, vice president of marketing and applications for Semtech’s Signal Integrity Products Group. “Often, these companies focus their sustainability efforts on addressing the environmental impact of their operations through manufacturing methods, or participation in green organizations. What’s still missing is how their technology and products are promoting sustainability. That said, innovative data center technology, specifically optical IC technology, is helping to advance sustainability efforts by addressing it at the source. Advanced IC technology helps reduce power and cost while increasing bandwidth and speed to create a sustainable technology ecosystem. As data center demands continue to grow, the industry must balance scalability and sustainability. In 2023, optical technology will help our growing infrastructure strike that balance and build the technology foundation needed to meet sustainability goals.”

Cloud appears to be at the heart of many initiatives. “Sustainability comes front and center,” says Jeff Wittich, chief product officer for Ampere. “As strain continues to mount on power grids and energy costs skyrocket, cloud sustainability in both public and private cloud will be addressed more seriously than ever before, given its increasing business impact. As companies look to cut costs, they will re-evaluate their cloud infrastructure and make optimizations to reduce energy, cut water usage, and fit more compute power into the footprint of their existing data centers. As they continue to grow their compute-dependent revenue streams, they will do so in a way that avoids costly and time-consuming data center infrastructure expansion.”

But power consumption and processing power demands can compete against each other. “The global demand for data to unlock autonomy, information access and human connection remains insatiable,” says Campbell. “We believe the future is software-defined – from IoT and mobile, to automotive, and the broader computing infrastructure. This evolution is underscoring the importance of the hardware and software relationship, emphasizing the growing need to enable developers to do their best work by minimizing the complexities that a software-defined future brings. This also means there is strong appetite for more processing power to take on all the software and data coming from these devices. Now more than ever, with energy costs rising and the growing focus on climate change, processing must be done efficiently.”

Moore and more
While Moore’s Law continues, it now has some viable challengers. “Moore’s Law, despite being declared dead, seems to be marching on, pretty much on track,” says Marc Swinnen, director of product marketing for Ansys. “We have designs at 3nm now, and 2nm is coming up. Morris Chang has talked about 1nm, but nobody is talking about anything below that, and it’s still a ways off. It’s not quite dead, but it has slowed down — though not that markedly. It’s still a strong factor in what we have to do in chips, and we still have to march down that path like the previous 40 years, but it’s getting more expensive each node.”

That growing expense is an issue. “Assuming the economic outlook for the industry stays flat, or doesn’t bounce back, I expect some reluctance on the part of companies to aggressively move to more expensive advanced CMOS process nodes,” says Maurice Steinman, vice president of engineering at Lightelligence. “This, in turn, will spur some level of innovation at either the architecture or advanced packaging level to compensate for unrealized performance gains. Constraints are often the catalyst for innovation.”

There are growing opportunities within the package. “Design teams are finding that 3D-IC calls for a system architectural mindset,” says Joe Sawicki, executive vice president for IC EDA at Siemens Digital Industries Software. “It not only requires system level planning across multiple substrates, but also an integrated design solution that accounts for IC-, package-, and PCB-level design, analysis, and test — not only at each level (IC, interposer, package and PCB) of the design phase, but all of them together, holistically. Ideally, it also requires a solution that takes into account mechanical stresses, supply chains, and the tracking and management of all this data together.”

Some of the necessary interfaces are coming together. “The physical layer has made significant progress with the emergence of UCIe,” says Schirrmeister. “In 2023, the attention will shift to the protocols, defining application-specific control and transport layers. We will likely experience some fragmentation between AXI, CHI, CXL, and others.”

While a lot of progress has been made, nobody is predicting that 2023 is the year of the third-party chiplet. “There are many challenges for the design industry to address,” says Siemens’ Sawicki. “Hopefully, the hard lessons learned from the industry’s formation of the IP industry in the late 1990s will translate to a faster establishment of formal standards for chiplets to become a new thriving industry. That has to happen before it can lead to new system innovations driven by 3D-IC integration.”

These new technologies are putting a strain on existing tools. “The unabated technology trends of migration to finer process geometries and advanced packaging mean that design teams need to verify not just growing functionality while meeting aggressive power, performance, and area (PPA) goals, but also analyze the confluence of electrical, thermal and reliability effects,” says Ketan Joshi, senior business development group director for cloud at Cadence. “The almost 10X compute resources needed to deliver new products within tight time-to-market windows is driving design teams to augment their on-prem infrastructure with cloud-based compute. Increasingly, complex design and supply chains now require collaboration between design teams, IP suppliers, foundries and manufacturing partners on a global scale. The cloud is the most natural platform to enable this critical information and data exchange.”

AI and ML
There is no end in sight for everything surrounding machine learning. “2023 will be the year of generative AI,” says Andy Nightingale, vice president of product marketing at Arteris IP. “This is used to create images, objects, and text, and to perform data transformations across domains and styles and dataset enrichment via modeling for medical research. This technology is likely to push up the implementation of HPC clusters with multi-die-based implementations.”

It is another technology that allows differentiation. “This ultimately makes their systems smarter than the competition’s products,” says Sawicki. “As we find more AI becoming a part of edge-based devices, we are finding that the leading companies in this space achieve greater degrees of differentiation by building their own optimized AI accelerators, rather than using off-the-shelf AI accelerator IP. This allows companies to optimize their systems for the best overall system functionality power and performance, while also making it harder for the competition to become fast followers.”

And that may also lead to custom software. “After bespoke silicon became popular in 2022, the coming four years will see the era of bespoke EDA,” says Michiel Ligthart, president and COO for Verific Design Automation. “Companies with in-house semiconductor design teams will focus on specialized design tools to develop silicon where they will apply their domain knowledge to in-house EDA tools. This effort will be focused on design entry — think SystemVerilog and VHDL design tools — not back-end tools such as place-and-route. The trend already has started and will increase significantly in the next few years.”

AI and ML are finding their way into all kinds of products. “Its unique requirements in scale and replicability will cause specific variations of NoCs, often leading to the co-optimization of computing and interconnect,” says Schirrmeister. “AI/ML also will further improve design productivity, and bears the potential to overhaul classic design methodologies and even configurability of IP significantly.”

The usage of AI is beginning to impact the development tool chain. “Design and verification with ‘AI/ML assist’ will start to deliver in a real way, and we’ll see the proof with customer papers showing up at venues like DVCon and DAC,” says Matt Graham, product engineering director at Cadence. “Replacing engineers isn’t something that we should expect in 2023, but by the end of the year, we’ll be able to look back and see that a number of manual tasks have been somewhat lessened by the introduction of AI and ML to the tooling around them. Think along the lines of predictive text and AutoCorrect helping mobile users, rather than AI automatically writing text messages. Just like AutoCorrect, not everything will work right or make life easier. But the net gain will be positive.”

Emerging markets
While some emerging markets are little more than hype today, they could be fertile grounds for the future. They also can create new sets of demands that fuel other parts of the industry, and that innovation can then lift all parts of the industry.

“The metaverse has taken the technology industry by storm and is pushing the boundaries of what was previously thought possible for digital communities,” says Raza Khan, senior market manager for Semtech’s Signal Integrity Products Group. “This all-immersive technology will put a strain on 5G infrastructure like never before. This increased demand for 5G requires higher bandwidth transmission capacity at extremely low latency, low power, and with high performance. Optical technology will play a key role in enabling the efficient and effective delivery of data via 5G wireless. Optical tech provides the cost effectiveness, small footprint, low power, and performance demanded by metaverse applications. In order for the metaverse to reach widespread adoption in the coming years, optical technology will need to be implemented to enable a smooth and secure connection to 5G.”

A lot of people have their eyes on quantum. “In 2023 there will be an increase in the offerings of quantum as a service (QaaS), where major companies and start-ups provide customers with cloud access to their quantum platforms,” says Niels Faché, vice president and general manager of PathWave Software Solutions at Keysight Technologies. “Quantum EDA will be a key enabler in increasing the computational power of these cloud-based platforms with a streamlined workflow that can handle scaling up the number of qubits. Meanwhile, services for on-premise custom QPUs (quantum processing units) are expected to pick up from design to fabrication and integrated solutions to meet the demand for on-premise quantum simulation solutions. In that perspective, quantum EDA will also see strong demand through those custom QPUs offerings.”

Tools and EDA
It feels as if the EDA industry is getting close to a point of needing re-invention. The focus on point tools is breaking down as everything becomes more interrelated and flows and methodologies need to span all the way from concept to implementation and fabrication, to in-field usage and back to concept, with data freely moving between all of the stages.

“Digital transformations are happening across industries as companies move from manual test and data processes with disparate databases across teams to streamlined development across the enterprise,” says Faché. “Connecting design and test enables sharing of data across the product lifecycle and correlating results. Even more, it allows for active feedback loops from test, back into the design process enabling fewer design cycles and faster time-to-market (digital twin). Flexible tools are needed to connect design and test systems into the greater enterprise workflows. This includes management requirements and automated test generation, compliance testing, test automation, flexible databases, data analytics, and AI optimization.”

It also makes tool selection more difficult. “We may start to see some greater emergence of benchmarking the entire end-to-end design and verification process,” says Cadence’s Graham. “This includes formerly difficult-to-encapsulate metrics like debug, coverage closure, total time to defect resolution, total time to timing closure, etc., as both tool vendors and tool users try to understand and quantify the contribution of the extra CPU cycles spent on automation, including AI and ML.”

And true hierarchical approaches will be required. “Verification is an open-ended challenge that is growing non-linearly with increasing complexity,” says Prakash Narain, president and CEO for Real Intent. “As a result, the verification effort has been growing as a percentage of the overall chip development effort. Our industry has succeeded in containing that growth by creating more powerful simulators and emulators and using massively parallel deployment. Tools must manage high design complexity and capacity requirements by supporting divide-and-conquer in the form of hierarchical analysis. Sufficiently accurate abstractions for hierarchical analysis are different for each application. Static sign-off technology also will have to ensure adherence to specific methodology rules designed to further reduce complexity.”

And finally, EDA may no longer be safe from export restrictions. “New restrictions are being placed on China, and that may impact any tool that can be used to realize advanced semiconductors, including EDA tools,” says Ansys’ Swinnen. “That means China will try and develop its indigenous manufacturing, and also its indigenous EDA to drive this. That could disrupt the market, because right now it’s completely dominated by U.S. companies. If China really puts a lot of weight behind developing their own EDA tools, they may try to compete in the global market.”



Leave a Reply


(Note: This name will be displayed publicly)