Week In Review: Manufacturing, Test

SPIE news; coronavirus impact; talent shortages.

popularity

SPIE
At the SPIE Advanced Lithography conference, Lam Research has introduced a new dry resist technology for extreme ultraviolet (EUV) lithography.

Dry resist technology is a new approach to deposit and develop EUV resists. It is a dry deposition technique with alternate compositions and mechanisms.

By combining Lam’s deposition and etch process expertise with partnerships with ASML and Imec, Lam’s dry resist technology enables EUV sensitivity and resolution advantages. This in turn has an improved overall cost for each EUV wafer pass.

“This new capability demonstrates Lam’s comprehensive patterning strategy, first enabling the industry to scale with multiple patterning solutions and now by enhancing the productivity and performance of EUV,” said Tim Archer, president and CEO of Lam Research.

Luc Van den hove, president and CEO of Imec, said: “Dry resist can be a key enabling technology for further adoption of EUV lithography and acceleration of the technology roadmap. Together with Lam and ASML, we aim to optimize the dry resist technology to get the best possible performance.”

————————————————

At SPIE, KLA has rolled out a pair of metrology systems.

The first system, called the Archer 750, is an imaging-based overlay metrology tool. The system helps verify that pattern features are aligned to features on previous layers.

The second tool, called the SpectraShape 11k, is an optical critical dimension (OCD) metrology system. This system monitors the shapes of three-dimensional structures, such as transistors and memory cells, to ensure they remain in spec.

“IC manufacturers are facing process tolerances measured on the atomic scale as they integrate novel structures and new materials into leading-edge chips,” said Jon Madsen, senior vice president and general manager of the Metrology division at KLA. “The new SpectraShape 11k and Archer 750 systems bring much-needed process control capabilities to our fab customers, helping them to produce the innovative electronics that move our world forward.”

————————————————

Also at SPIE, D2S has extended its Wafer Plane Analysis (WPA) technology for EUV lithography.

D2S’s TrueMask WPA technology is a GPU-accelerated aerial simulation tool that integrates with mask CD-SEM systems. This technology is used to provide fast and accurate CD metrology for complex and curvilinear mask shapes.

TrueMask WPA enables mask shops to leverage existing CD-SEM equipment to identify mask-level CD uniformity issues that will impact the wafer during subsequent lithography processing in the fab in minutes instead of hours or days as with other approaches.

“Our customers’ previous experience with TrueMask WPA has shown that the GPU-accelerated aerial simulation approach is very effective at detecting issues with optical photomasks used in 193nm immersion (193i) lithography,” stated Masahiro Seyama, senior vice president at the Nanotechnology Business Group at Advantest. “We look forward to seeing the same benefits extend to EUV.”

“Mask metrology at advanced nodes is increasingly difficult due to the increased demands on its accuracy. This difficulty is compounded by the move to EUV lithography, where mask 3D effects can significantly impact CD in the wafer fab,” stated Aki Fujimura, CEO of D2S. “GPU acceleration is particularly useful in simulating the complex interactions of physical effects in semiconductor manufacturing processes, including lithography, in reasonable time. The extension of our TrueMask WPA solution to include EUV provides mask shops with a new capability to assess the wafer impact from the mask images. This is yet another example of how GPU acceleration can be used to benefit the semiconductor industry.”

————————————————

Imec and ASML announce a breakthrough in printing narrow 24nm pitch lines, corresponding to the dimensions of critical back-end-of-line metal layers of a 3nm technology node process. By combining advanced imaging schemes, resist materials and optimized settings on ASML’s NXE:3400B system in Imec’s cleanroom, the system is capable of printing lines/spaces at 24nm pitch in a single exposure step.

————————————————

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, has announced that Micron has joined the organization.

————————————————

Chris Mack, chief technical officer at Fractilia, has a blog on SPIE here. “Early indications are that conference attendance will be down about 15% (300 people) compared to last year, with about half of that drop coming from Asia and most of the other half from Intel (only authors and conference chairs have been allowed to come from Intel). I found out that another large company has canceled their hospitality suite – ASML. That leaves a few resist companies, Qoniac, Mentor Graphics, and of course Fractilia carrying on with their evening events (I’m probably missing some in this list),” Mack said.

Packaging
As of Feb. 10, ASE’s China offices have re-opened and resumed operation. “We will continue to implement strict measures at all ASE facilities worldwide (including employee housing estates) and comply with local government health and safety regulations closely, to combat the spread of COVID-19 and protect our employees and customers,” according to ASE.

ASE has achieved a place on the CDP A List 2019 and is the only publicly listed company from Taiwan to make the list for the third time. CDP’s annual environmental disclosure and scoring process is recognized as the gold standard of corporate environmental transparency.

In response to the current coronavirus epidemic, JCET has responded to incorporate the relevant regulations at the national, provincial, municipal and high-tech government levels.

Xperi has received an unsolicited proposal from Metis Ventures LLC to acquire Xperi for $23.30 per share in cash. In December, Xperi had entered into a definitive agreement to merge with TiVo. Xperi reiterated its continued support for its pending transaction with TiVo.

Chipmakers
United Microelectronics Corp. (UMC) has approved the capital budget execution of NT$20,836 million towards capacity deployment.

STMicroelectronics and TSMC are collaborating to accelerate the development of gallium nitride (GaN) process technology and the supply of both discrete and integrated GaN devices to market.

Graphcore has secured an additional $150 million in new capital to secure its position as a leading pure-play machine intelligence AI processor company.

Market research
With the emergence of the COVID-19 virus, International Data Corp. (IDC) has lowered its forecast for personal computing devices (PCDs), inclusive of desktops, notebooks, workstations, and tablets. Overall PCD shipments will decline by 9.0% in 2020, reaching 374.2 million by year’s end. However, the long-term forecast remains positive as global shipments are forecast to grow to 377.2 million in 2024 with a five-year compound annual growth rate (CAGR) of 0.2%.

Citing the COVID-19 outbreak, the worldwide smartphone market is expected to decline 2.3% in 2020 with shipment volume just over 1.3 billion, according to IDC. Meanwhile, sue to the COVID-19 outbreak, the notebook supply chain is facing many challenges. Therefore, TrendForce is lowering its February notebook shipment forecast from 10.8 million units previously to 5.7 million units, a 47.6% decrease.

Electronics manufacturers anticipate at least a five-week product shipment delay from suppliers due to the coronavirus epidemic, according to a survey conducted by IPC, an electronics manufacturing association. Roughly 65% of manufacturers report their suppliers expect a three-week delay. However, electronics manufacturers expect delays to be longer than what their suppliers are currently quoting. On average, executives expect shipment delays to be at least five weeks.

Retaining employees and avoiding the shortage of critical talent and skills that can result from employee turnover remains a top priority for HR leaders, according to Gartner. There are several factors that drive employee turnover, but lack of career opportunities most often tops the list. “Creating desirable career opportunities for employees within your organization is essential to prevent attrition,” said Lauren Smith, vice president of Gartner. “Progressive organizations go one step further and help employees move jobs internally and intentionally staff open roles with internal candidates.”

Job, Event and Webinar Boards
Find industry jobs and upcoming conferences and webinars all in one place on Semiconductor Engineering.

Looking to dig into a new technology? Check out our revamped Knowledge Center, which features all the latest top stories, special reports, white papers, videos and more on the latest chip industry technologies.



2 comments

Allen Rasafar says:

Thank you Mark.
Back in 2017, I lead the development of a new novel Metrology method for holistic Metrology solution for assing pattern fidelity, overlay, pattern displacement, stack displacement, OPC, and feature shapes variations induced by process, and material.
This method was not fully realize at Globalfoundries due to lack of progress with 7nm technology. But it seems that my novel idea was broken apart that AMAT, ASML, KLA and some other entities are marketing with an inferior capabilities. This method was complemented by my Mass Metrology initiative that enabled collecting and analysis of millions of CD data point in less than 30 minutes. It was named as the holygrail of Metrology by my GF Metrology team. Unfortunately this nove method was swept under the table and lithography enthusiasts lost a true holistic tool that would have put the lithography development, validation, OPC, and the Yield improvement at least 6 years ahead of current solutions.

curious says:

The Lam dry resist is not same as Inpria’s?

Leave a Reply


(Note: This name will be displayed publicly)