Gaps In Metrology Could Impact Yield

For finFETs and stacked die to become mainstream, advances are needed in metrology. The search is on for a solution.

popularity

For some time, chipmakers have been developing new and complex chip architectures, such as 3D NAND, finFETs and stacked die. But manufacturing these types of chips is no simple task. It requires a robust fab flow to enable new IC designs with good yields.

In fact, yield is becoming a more critical part of the flow. Yield is a broad term that means different things to different parts of the ecosystem. One way to ensure good yields from the start is to have a sound metrology strategy.

So what’s the big problem? Metrology, the science of measuring and characterizing tiny structures and materials, is becoming more complex and expensive at each node. In total, the process control sampling rates in the fab have increased by 80% from the 130nm node to today’s leading-edge processes, according to Canaccord Genuity, an investment-banking firm.

And specifically for 3D NAND and finFETs, there are a multitude of gaps in metrology. Today’s metrology tools are capable of measuring structures in two dimensions, and in three dimensions to a limited degree, but that’s not nearly enough for the wave of new chip architectures and materials in the market.

Generally, fab managers want a single 3D metrology tool that can measure sub-1nm structures with three-dimensional imaging and provide compositional analysis. And the system must be fast and relatively inexpensive. “At some point of time, especially at the 5nm node or less, you are going to want to know where every atom is in 3D,” said John Allgair, director of product development at Nanometrics. “You will want to know what type of atom exists and what are its electrical properties.”

But no such 3D-based metrology tool exists in the market today. And don’t expect one in the foreseeable future. So for now, chipmakers must use today’s tools, or a mix-and-match of current systems, which can be a cumbersome and expensive process.

The good news is that the industry is boosting the capabilities of the individual metrology tool technologies in the market. This, in turn, could help reduce the cost and cycle times in the process control flow for 3D NAND and finFETs.

Applied Materials, for example, is incorporating several new capabilities into its critical-dimension scanning electron microscope (CD-SEM), such as backscattering and tilt. Another technology, optical CD (OCD) metrology, is making inroads, at the expense of CD-SEMs. And on the R&D front, atomic probe tomography (APT) and X-ray scattering (CD-SAXS) are promising.

The solutions
In metrology, each tool serves a particular purpose in the process control flow. For example, the CD-SEM, the workhorse metrology tool in the fab, is used for top-down measurements. A related technology, the transmission electron microscope (TEM), is mainly used in the lab. Another technology, atomic force microscopy (AFM), uses a tiny probe to enable measurements. APT also uses a probe for imaging at the atomic level. Meanwhile, one type of OCD, dubbed optical scatterometry, measures the changes in the intensity of light. CD-SAXS is similar to OCD, but it uses X-ray technology.

Over the years, chipmakers have increased their investments in process control and for good reason. In 2002, each dollar spent on metrology generated $11 in IC sales, according to VLSI Research. And in 2012, each dollar spent on metrology generated $22 in chip sales, according to the firm.

Beyond the numbers, metrology plays a role in eliminating the causes of yield loss and variability at the transistor level. Obviously, a delay in the yield ramp for a given chip design could result in lost sales. “Even if you miss the window by a quarter, it’s painful,” said G. Dan Hutcheson, chief executive of VLSI Research.

Meanwhile, for planar devices, chipmakers use a variety of metrology tools, but the process itself is relatively straightforward. “We measure using a top-down approach,” said Ofer Adan, global product manager and a member of the technical staff at Applied Materials. “Now, we need to measure in 3D. The question is how do we measure all of these parameters?”

In finFETs, for example, a given metrology tool must make 12 or more different measurements, such as the gate height, fin height and sidewall angle. Each of those parts also requires one or more separate measurements. 3D NAND has slightly different and complex requirements as well.

To date, there is no single tool that can handle all requirements, as each technology has various shortcomings. For example, there is a belief that the CD-SEM will soon hit the resolution wall. In AFM, the challenge is to measure finFETs in 10nm to 20nm spaces. And OCD has 3D modeling capabilities, but the technology is complex.

To solve the problem, chipmakers are using a concept called hybrid metrology. In this approach, chipmakers use a mix-and-match of several different tool technologies and then combine the data from each. The challenge is to put rival tools in the same flow and tell competitors to collaborate.

In one example of a hybrid flow, a finFET structure is measured by both the CD-SEM and an AFM. Then, the results are feed to the OCD tool to validate the model. Another possible metrology flow involves the CD-SEM, OCD and a TEM. “I don’t know if a lot of folks are in my camp or not, but I still think you will need to pull in multiple sources of data as you start to get into these complex 3D structures,” Nanometrics’ Allgair said. “Ultimately, I think your solution will be a mix-and-match solution.”

Sum of the parts
So, to enable the hybrid metrology approach, fab tool vendors must improve the capabilities of their individual systems. In one example, Applied Materials has dispelled the notion that the CD-SEM is running out of gas. In the lab, the company has demonstrated the ability to measure a gate-all-around transistor at 5nm. “Everybody is saying CD-SEM is dead after 10nm,” Applied’s Adan said. “We’ve shown the CD-SEM is sensitive down to 5nm to 6nm. So the technology is alive and kicking.”

Applied is also adding backscattering and tilt capabilities to the CD-SEM. Both capabilities are not new concepts, but the technologies are finally taking root after years in R&D. The CD-SEM itself uses a focused beam of electrons to generate signals at the surface of a structure. A back-scattered electron detector, or BSE, is sometimes integrated into a CD-SEM as a means to capture backscattered electrons. This, in turn, enables the CD-SEM to determine the composition or surface topography of a structure.

BSE can play a key role in 3D NAND. As part of the manufacturing flow, 3D NAND requires a staircase etch process. “How do you know that you etched completely down within the structure? There is no simple way to time the etch when you reach the bottom, but you can control that etch using backscattering,” Adan said.

Meanwhile, in a CD-SEM, the measurement of a structure is performed when the stage is not tilted. By using a technology called tilt-beam, the CD-SEM can be used to see the bottom and sidewall angle of a feature. The challenge is to control the stray tilt, which is the amount of unintended tilt in a system. “For over 10 years, the industry has been working on tilt. It did not catch on in the beginning. It was way too early,” Adan said. “Now, tilt is ready for prime time. It has caught on for finFETs.”

CD-SEM has the dominant share in the metrology flow, but OCD is making inroads. “We believe that below 20nm, the change in gate structures is so complex that OCD will be the only technology that can provide the necessary three-dimensional resolution, throughput and feedback for these structures in high-volume commercial applications,” said Josh Baribeau, an analyst with Canaccord Genuity.

OCD consists of various and different technologies, including scatterometry, ellipsometry and reflectometry. Ellipsometry measures the polarization of light. And reflectometry measures for thickness and depth. “Scatterometry, for example, can get you a long ways in order to give you multiple parameters in terms of the 3D problem you are trying to solve. However, you can enhance its capabilities by adding information from other tools,” said Nanometrics’ Allgair.

KLA-Tencor, Nanometrics and Nova are the main players in OCD. “We believe Nanometrics has now won some OCD business at TSMC for 20nm and 16nm technologies, and at Samsung and GlobalFoundries for 14nm technology,” said Weston Twigg, an analyst with Pacific Crest Securities. “Nanometrics already has a strong OCD position at Intel. We believe Nanometrics has won Samsung’s OCD business for 3D NAND, along with a new integrated metrology win for the same process.”

In the future, meanwhile, chipmakers are looking for the ultimate 3D metrology solution. The candidates include APT, CD-SAXS, GI-SAXS, helium ion microscopes, among others. At a recent event, the National Institute of Standards and Technology (NIST) and others made a strong case for CD-SAXS.

NIST demonstrated the ability to take fast finFET measurements on a CD-SAXS system within NIST’s lab. Researchers were able to get usable data in 15 minutes. NIST also showed the improvements necessary to get the measurements down to 1 minute. Meanwhile, in a separate application, NIST used CD-SAXS to determine the buried structures in a directed self-assembly (DSA) process.

“CD-SAXS is very similar to OCD in that it is a non-destructive and model-based technology, which provides the ensemble-average shape of periodic nanostructures,” said Joseph Kline, leader of dimensional metrology for the Nanofabrication Project at NIST. “CD-SAXS tends to have fewer issues with parameter correlations and has a higher resolution due to the much shorter wavelength. CD-SAXS is particularly sensitive to pitch and pitch walking. It also can measure deep or buried structures.”

The issues with CD-SAXS are throughput and the target size. “CD-SAXS is ultimately limited by the available compact X-ray source technologies. There are several new high brightness X-ray source technologies coming to market that have the potential to substantially reduce the measurement time for CD-SAXS. If these new sources perform as predicted, manufacturers could start in-house evaluation of CD-SAXS tools in one to two years,” Kline said.

Still, there doesn’t appear to be a magic bullet on the horizon. APT and helium ion microscopes are still in their infancy. And if or when CD-SAXS moves into production, the technology won’t replace the incumbent technologies, at least for now. “Initially, CD-SAXS will probably be used in tandem with OCD,” he said. “A small amount of CD-SAXS data can significantly reduce the parameter correlation issues that challenge OCD, while combining the measurement speed of OCD with the resolution of CD-SAXS. The average 3D shape over a large area measured by CD-SAXS cannot provide all of the information obtained from the local images of CD-SEM and AFM.”



Leave a Reply


(Note: This name will be displayed publicly)