Chip Industry Week In Review

Adani and Tower fab in India; new panel-level package center; global semi sales; Russian weapons with U.S. semis; Nvidia and Microsoft lawsuit; Hot Chips AI processors architectures; Intel’s 18A; Phil Kaufman winner; apprenticeships; export controls updated.

popularity

Concerns mount on the use of American-manufactured semiconductors in Russian weapons, with Analog Devices, AMD, Intel and TI set to testify next week before the U.S. Senate Permanent Subcommittee on Investigations. Also, U.S. and other government agencies issued a joint advisory and more details about ongoing Russian military cyberattacks, espionage, and sabotage.

The U.S. Commerce Department implemented worldwide export controls on advanced semiconductor equipment, gate-all-around FETs, and quantum computing technology. The Netherlands also updated their export restrictions, requiring ASML to apply for export licenses with the Dutch government for shipments of its TWINSCAN NXT:1970i and 1980i DUV immersion lithography systems.

The Adani Group and Tower Semiconductor plan to jointly build a $10 billion analog/mixed-signal chip fab on the outskirts of Mumbai, India.

Onto Innovation launched its Packaging Applications Center of Excellence (PACE), the first U.S. facility dedicated to advancing panel-level packaging (PLP) innovations for 2.5D and 3D chiplet architectures and AI packages. The center will collaborate with key industry players, including ASMPT, Corning, and Lam Research, to develop sub-1.5µm line/space interconnects and novel processes such as organic damascene.

Global semiconductor industry sales reached $51.3 billion in July 2024, up 18.7% from July 2023 and 2.7% higher than June 2024, according to the SIA. The Americas led regional growth with a 40.1% year-on-year increase, while Europe saw a 12% decline.

SEMI reports semiconductor industry billings increased 4% YoY in the second quarter to $26.8 billion. China led overall billings for the first half of the year, which totaled $53.2 billion, exceeding those of Taiwan, South Korea, and the U.S. combined.

Report from Hot Chips 2024: New AI Processors Architectures Balance Speed With Efficiency. Leading AI systems designs are migrating away from building the fastest AI processor possible, adopting a more balanced approach that involves highly specialized, heterogeneous compute elements, faster data movement, and significantly lower power.

The European Semiconductor Industry Association (ESIA) urged the EU to accelerate aid distribution and introduce a “Chips Act 2.0” to further support the region’s chip sector, reports Reuters. The group also called for the appointment of a dedicated “Chips Envoy” to oversee semiconductor policy.

Intel reported progress to bridge from Intel 20A earlier than expected, with continued momentum for Intel 18A. The company said that “with current Intel 18A defect density already at D0 <0.40, the economics are right for us to make the transition now.”

Xockets filed suit against NVIDIA and Microsoft, alleging patent infringement involving Xocket’s DPU technology, as well as violation of antitrust laws.

Jason Cong, Distinguished Professor and Volgenau Chair for Engineering Excellence at UCLA, will receive the 2024 Phil Kaufman Award for his work in FPGA synthesis and interconnect optimization.


Quick links to more news:

Global
In-Depth
Money
Education and Training
Security
Product and Standards
Research
Events and Further Reading


Global

Intel and Japan’s National Institute of Advanced Industrial Science and Technology are teaming up on an R&D center to speed up development of EUV equipment and materials, per Nikkei.

Japan’s JDI and Sharp will no longer supply Apple with iPhone displays after the company ends LCD use and switches to OLED’s, reports Nikkei.

China warned Japan it would retaliate against any new chip curbs, per Bloomberg.

India and Singapore agreed to cooperate on semiconductor supply chains to enhance supply chain resilience and workforce development. A business-to-business Cooperation Forum will be established and led by Enterprise Singapore and the India Semiconductor Mission (ISM).

Taiwan’s VIS and The Netherlands’ NXP received regulatory approvals and capital to establish VisionPower Semiconductor Manufacturing Company (VSMC) in Singapore. The $7.8 billion joint venture will construct a 300mm wafer fab in Singapore, with initial production expected by 2027. The fab will produce 130nm to 40nm mixed-signal, power management, and analog products for automotive, industrial, and consumer markets. VSMC aims to output 55,000 wafers per month by 2029, creating around 1,500 jobs.

Thirty Taiwanese companies, led by TSMC and ASE, formed the Silicon Photonics Industry Alliance to accelerate the development of silicon photonics and co-packaged optics (CPO) technology, reports Taipei Times. The alliance aims to address the energy efficiency challenges in AI devices and data centers by standardizing design, manufacturing, and testing processes.

AGC announced its Chemicals Technical Center in Hsinchu, Taiwan, will open next month. The center will focus on providing technical services for semiconductor and electronic materials in support of Taiwan’s semiconductor supply chain.


In-Depth

Semiconductor Engineering published its Auto, Security & Pervasive Computing newsletter this week, featuring these top stories:


Money

Global wafer foundries saw a 9.6% revenue increase in Q2 2024, reaching $32 billion, driven by restocking for China’s June 18 shopping season and strong AI server demand, according to TrendForce. TSMC led with a 10.5% revenue rise to $20.82 billion, holding a 62.3% market share. Strong demand for SoCs and AI-related chips is expected to sustain growth through Q3.

The advanced IC substrate market is set to grow at a 9% CAGR, reaching $25.53 billion by 2029, according to Yole Group. This growth is driven by rising demand for FC BGA substrates in 2.5D/3D advanced packages, AI accelerators, 5G, and automotive applications. Competition in glass core substrates is intensifying, with Absolics, Intel, and Samsung leading efforts to commercialize GCS-based products.

Dover, a diversified global manufacturer, acquired engineering services company Criteria Labs, which specializes in RF semiconductors for mission critical aerospace and harsh environment applications.

Newly formed Safe Superintelligence (SSI) raised $1 billion in cash to help develop safe AI systems.

Fabless design startup BigEndian Semiconductors raised $3 million in a funding round led by Vertex Ventures SEA & India, reports The Economic Times.

The U.S. NSF is investing $39 million to support 23 quantum research programs spanning quantum computing, sensors, and materials and awarded $1 million to the University of New Mexico and New Mexico State University to research a photonic quantum computer that can operate at room temperature.

The U.S. Department of Energy awarded $118 million to 10 Energy Frontier Research Centers to advance basic science for advanced manufacturing. Projects include power electronics materials, quantum photonics, and 3D ferroelectric electronics. The DoE also selected Argonne National Laboratory to lead the Energy Storage Research Alliance to address battery challenges. And the DoE is funding the Aqueous Battery Consortium of Stanford University, SLAC, and others to overcome the limitations of a battery using water as its electrolyte.

Find more business reporting here, including the latest stock prices and startup news.


Education and Training

NY CREATES partnered with NYDesign to advance semiconductor-centered workforce development throughout New York State, with a focus on IC design.

The National Institute for Industry and Career Advancement and NY CREATES announced its first cohort of apprentices entering its new semiconductor apprenticeship program.

California semiconductor companies are vying for skilled workers as well, with the SEMI Foundation and other state-funded California apprenticeship programs supporting more on-the-job trainings as well as customized training through community college programs.

Pennsylvania‘s Congressional Representatives are pushing for the Penn State University-led Mid-Atlantic Semiconductor Hub (MASH) Digital Twin Institute to become the CHIPS Manufacturing USA Institute, a funding opportunity recently created by the CHIPS Act.


Security

Intel Labs discussed microarchitectural weaknesses in the CWE List that relate to transient execution, especially the four new entries added with the release of CWE Version 4.14.

Rambus’ RT-660 Root of Trust IP, which protects against a range of hardware and software attacks through side-channel attack countermeasures and anti-tamper security techniques, received FIPS 140-3 CMVP Level 2 certification.

Recent security research:

  • Late Breaking Results: On the One-Key Premise of Logic Locking (Synopsys)
  • A Nonlinear Stream Cipher for Encryption of Test Patterns in Streaming Scan Networks (Siemens, Poznań University of Technology)
  • Hardware-Efficient Configurable Ring-Oscillator-Based Physical Unclonable Function/True Random Number Generator Module for Secure Key Management (Universidad de Sevilla)
  • A Strong Physical Unclonable Function With Virgin State Embedded Phase Change Memory (Politecnico di Milano)
  • ZEBRA: Zero Trust Architecture Employing Blockchain Technology and ROPUF for AMI Security (University of Jeddah, University of Toledo, College of Charleston)

Interface called on legislators to create a clear and constitutional legal basis for automated data processing methods used by intelligence services.

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories and launched a new portal to improve cyber incident reporting.


Product and Standards

The Bluetooth SIG released version 6.0 of the Bluetooth Core Specification.

JEDEC published a number of new documents, including:

  • Temperature Range and Measurement Standards for Components and Modules
  • DDR5 Serial Presence Detect (SPD) Contents
  • JEDEC Memory Device Management Standard – for Compute Express Link (CXL)
  • Foundry Process Qualification Guidelines – Technology Qualification Vehicle Testing (Wafer Fabrication Manufacturing Sites)

Three years after establishment, SOAFEE (Scalable Open Architecture for Embedded Edge) announced several developments, including alignment of SOAFEE-based software solutions with the Arm Reference Design-1 AE hardware solution for automotive, the introduction of virtual platforms, and expanded validation services.

Keysight Technologies introduced a 14-bit analog-to-digital converter (ADC) oscilloscope with high signal resolution and low noise floor to identify the smallest and most infrequent signal glitches during design debugging.

Roborock launched an intelligent sweeping and mopping robot equipped with a 3D camera module for navigation and obstacle avoidance using Infineon’s REAL3 Time-of-Flight (ToF) imager.

Intel uncorked its latest series of processors, the power-efficiency optimized Core Ultra 200V, for mobile AI PCs.

Qualcomm announced its Snapdragon X Plus 8-core for AI PC laptops.

PQShield produced a test chip implementing its post-quantum cryptography IP.

ACM Research introduced a bevel etching tool designed for fan-out panel-level packaging (FOPLP) applications.

SiliconAuto adopted Siemens’ PAVE360 software to reduce development time for auto semiconductors and offer a software development environment prior to silicon hardware availability.


Research

Fraunhofer IIS developed a simulator for network energy savings. The goal is to help cellular base stations save energy by giving them the ability to enter different levels of hardware sleep without impacting the quality of data transmission.

Forschungszentrum Jülich, Fraunhofer IPMS, and other German research institutions and companies are working to build a quantum computer based on superconducting quantum chips with improved error rates. Halfway through the project, the first 10 qubit demonstrator is now ready to go into operation.

Element Six (E6), a synthetic diamond materials firm, is leading a DARPA-backed program under the Ultra-Wide BandGap Semiconductors initiative to advance next-generation semiconductor technologies. E6 aims to develop 4-inch single-crystal diamond substrates for high-power applications, leveraging diamond’s superior properties like chemical inertness, high carrier mobility, and heat conduction.

Researchers at TMOS developed a highly integrated photonics IC optoelectronic system using ordered InGaAs/InP multi-quantum well core-shell nanowire arrays for vertical emitting lasers, aimed at next-generation optical and quantum communication technologies.

UC San Diego engineers developed a sweat-powered wearable to continuously monitor personal health, constructed from several electronic components printed onto a thin, flexible, and stretchable polymer material.

Find more industry research here.


Events and Further Reading

Find upcoming chip industry events here.

Event Date Location
AI HW and Edge AI Summit Sep 9 – 12 San Jose, CA
DVCON Taiwan Sep 10 – 11 Hsinchu
R&D Project Funding: Test Vehicle Innovation Pipeline (TVIP) Sep 10 Virtual and Falls Church, VA
Cadence Live India Sep 12 – 13 Bengaluru
SNUG Israel Sep 17 Herzliya, Israel
TSMC 2024 NA OIP Ecosystem Forum Sep 25 Santa Clara, CA
GSA Executive Forum Sep 26 Menlo Park, CA
SPIE Photomask Technology + EUVL Sep 29 – Oct 3 Monterey, CA
Strategic Materials Conference: SMC 2024 Sep 30 – Oct 2 San Jose, CA
IMAPS 2024: International Symposium on Microelectronics Oct 1 – Oct 3 Boston, Massachusetts
Rambus Design Summit Oct 1 Virtual
Memory Users Conference Oct 1 – 2 Virtual
2024 OCP Global Summit Oct 15 – 17 San Jose, CA
DVCON Europe Oct 15 – 16 Munich, Germany
Hardwear.io Conference & Training Oct 21 – 25 Amsterdam, Netherlands
CadenceCONNECT: Jasper User Group Oct 22 – 23 San Jose, CA
NSTC Symposium and Microelectronics Commons Annual Meeting Oct 28 – 30 Washington, D.C.
Find All Upcoming Events Here

Upcoming webinars are here, including including topics such as AFM, DFM solutions, mask synthesis, 3DIC power integrity, migrating analog design and ISO26262 FUSA software.


Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials



Leave a Reply


(Note: This name will be displayed publicly)