Carmakers To Chipmakers: Where’s The Data?

Different perspectives and needs create friction as more advanced electronics are added into vehicles.

popularity

The integration of electronics into increasingly autonomous vehicles isn’t going nearly as smoothly as the marketing literature suggests. In fact, it could take years before some of these discrepancies are resolved.

The push toward full autonomy certainly hasn’t slowed down, but carmakers and the electronics industry are approaching that goal from very different vantage points. Carmakers and their Tier 1 and 2 suppliers are demanding hard data and physical inspection and test. Leading-edge chip companies and foundries, meanwhile, argue that simulation and statistical analysis are sufficient. Between those two perspectives is a gap that includes how to prove and predict reliability, aging and other causes of electronic degradation and failure, and what’s necessary to qualify IP and a variety of electronic components used in cars.

Among the issues:

  • Carmakers are searching for real-world data about performance under harsh driving conditions and the reliability of those components over extended periods of time, but in many cases real-world data doesn’t exist with electronic components because the shift to autonomy is brand new. Even when it is available, it is either incomplete or closely guarded by chipmakers and foundries.
  • Automotive companies face huge liability issues if assisted and autonomous vehicles malfunction, and that risk increases proportionately as the level of autonomy rises. Mapping how electronics work under mechanical or thermal stress can be simulated, taking into account such factors as excessive vibration or wide swings in ambient temperature. But that still requires a leap of faith on the part of automotive companies, which for years have relied on physically observing and documenting mechanical failures.
  • Many of the electronics companies working in the automotive market today have little or no experience with automotive reliability requirements, and many of the companies that have been working in the automotive world have no experience with advanced-node semiconductors. A chip at 180nm for automotive applications is far different than a 7nm AI chip.

While preventing failures can be addressed using existing semiconductor tools and equipment, carmakers are looking for data that allows them to predict failures. In AI systems, in particular, these devices today are partly black magic and entirely blackbox.

“Ford has been using reliability physics analysis, but what we don’t have today is a heads up when parts are approaching 80% of their life,” said Keith Hodgson, senior reliability engineer at Ford Motor Co. “That means degradation models where you are at the edge of failure without actually having a failure.”

This kind of data is valuable for many industry segments because it allows systems vendors of all types to balance risk and cost. But it is particularly valuable in safety-critical markets, where risk can involve serious injuries and predictive maintenance will be required across a wide variety of parts.

“It’s normally five to six years before you know if there is a problem,” said Jim McLeish, senior member of the technical staff at Dfr Solutions. “But with 5nm or 7nm, no one has that kind of experience. We don’t know how variation will affect reliability. SAE has a fail-safe standard that gives equations for calculating failure rates. We are trying to adapt that into the SAE standard (J3168) and giving the AEC a wish list. But we need this data to run models and figure out ballpark projections.”

That data includes such things as die size and thickness, mold material, Z-axis warpage, die offset within a package, and ball diameter and height. It’s not the kind of data that most chipmakers work with outside of the automotive industry.

“The companies that make the car and those companies that make the chips look at reliability in different places,” said Kelvin Low, vice president of marketing for Arm‘s Physical Design Group. “We need a lot more education on the chip and IP side, and automotive OEMs need to figure out what’s happening in the silicon and IP world. Everyone in the supply chain will be affected. Right now, the foundry data for all of the parts is not out there yet, and with the end product you can only do so much simulation. The result is they will margin more because they have not actually seen that data.”


Fig. 1: Ford’s autonomous vehicle for ride sharing. Source: Ford

Design and manufacturing shifts
Leading-edge chipmakers typically leave manufacturing data to the foundries and EDA vendors to work through so that what gets designed can be manufactured with sufficient yield. Since the introduction of finFETs, foundries such as Samsung, GlobalFoundries and TSMC have been providing detailed data about process variation and other effects that can affect reliability to their top customers, and EDA and IP companies. But developing 7nm AI chips for automotive applications adds a whole new set of issues.

“The problems escalate at each node, increasing the potential for errors in the process,” said Uday Mitra, vice president of strategy for etch products at Applied Materials. “Now it’s no longer a simple shrink. With less tolerant EPE (edge placement error) margins, EPE increases at the smaller nodes and litho alignment errors and process variation errors stack up. The maximum allowable EPE is quarter-pitch, and it gets worse with a smaller pitch. A respin (new tape-out) will not fix that unless the pitch is relaxed.”

Automotive adds stringent qualification and reliability on top of those problems. Today there are no 7nm AI chips for automotive in production, so that data isn’t available yet. It’s not obvious what kinds of issues will show up post-manufacturing.

“The latent defects that cause automotive IC reliability failures are directly related to random defectivity,” said Rob Cappel, senior director at KLA-Tencor. “Fabs have a significantly higher probability of catching these reliability issues inline if the appropriate inspection tools, sampling strategies and methodologies are used to find and reduce random defectivity.”

And this is one of the big shifts being driven by the integration of advanced electronics into automotive. In-circuit monitoring will be essential because the electronics are expected to last up to 18 years with no defects. That formula might vary, depending upon mileage driven for robo-taxis, but these driverless vehicles will require some way of replacing parts or modules before they fail.

“The auto guys want to be able to see proof of reliability,” said Oliver King, CTO at Moortec. “Predictive analysis and simulation are great, but they want to see it in the field. Do we know how finFETs age? Not really. We have models, but they are not the same as for planar chips. This is the first time we’re dealing with serious electromigration issues in cars. When you put complex SoCs in there, you start to see the kinds of problems normally associated with complex SoCs.”

That could include everything from complete failures to more subtle timing issues.

“Predictive maintenance is already happening,” said Rupert Baines, CEO of UltraSoC. “Chip companies like NXP, ST, Infineon and Intel are writing sophisticated code on-chip, and companies like Bosch and Delphi are layering on more software. They want validation, verification and analytics so you can have preventive maintenance beyond what a chip company on its own can provide. If you have a bug, you want to trap it and make sure it’s not going to cause a problem. If the system is hacked, you want to know about it. But you need to detect bugs, hacks and safety problems while the chip is running.”

Normally these kinds of issues are worked out over a period of years and software updates in mobile phones or servers, and that may prove to be the case in fully autonomous vehicles. But the entire automotive industry is engaged in an all-out race to catch up to, or pass, Tesla, and the only way to do that is with electronics.

“Classical learning cycles on reliability are two a year,” said Klaus Schuegraf, vice president of new products and solutions at PDF Solutions. “First you have to build the product, which takes two to four months. Then you have to package it. And now you have to burn it in. So that takes another quarter. So it’s half a year to build it and burn it in. You’re getting about two learning cycles a year. That’s part of the challenge of getting some of these high-performance, high-reliability technologies to market for high-performance computing. You get one or two PPM-level problems that hurt you, and then you can’t ship with the same quality as at previous nodes. There also are more steps, which extends the process time. You’re also dealing with the resolution speed of finding these harder problems and identifying them.”

Variation in production can add to the list of things that can possibly go wrong, and it has added yet another variable into the mix.

“You can certainly guarantee quality, but it’s very difficult to achieve,” said John Chen, marketing director at UMC. “A 12-inch wafer will provide better uniformity in the center, but around the edge it’s more difficult to maintain that uniformity. So you can move from 300mm to 200mm to lower the failure rate and minimize product variation. The problem is you don’t necessarily know how to qualify that for automotive, and sometimes you have to take a step backward instead of moving to the most advanced process.”

All eyes on AI
One of the biggest areas of focus in assisted and autonomous vehicles is the logic that will maneuver cars through a maze of expected and unexpected obstacles, changing road conditions and different sets of regulations. Carmakers are looking at 7nm implementations of AI to avoid obsolescence, due to their long design cycle time. They also are considering a variety of packaging approaches, such as multi-chip modules, as well as FD-SOI at 22, 18 and 12nm. And they are weighing how much of that logic needs to be centralized, and how much of the processing will be done closer to the sensors in order to minimize data movement.

That many variables and moving parts makes it much more difficult to gather up enough data from any particular approach.

“You assume that AI in the cabin will be fairly temperature controlled,” said Bob Stear, senior director of foundry marketing at Samsung. “But much more intelligence has to be pushed to the end point. We are characterizing automotive right now. We have 28nm, 18FDS (FD-SOI) and 14nm ‘Grade 1-qualified.’ We expect to have 7nm by the end of the year.”

That’s just one piece of the puzzle. Another piece involves memory, and, depending upon how some of these chips are packaged, that could become a separate issue.

“We’ve seen that when you stack NVM on an MCU, the interfaces to the package are failing under high heat,” said Jamie Schaeffer, senior director of product line management at GlobalFoundries. “One of the key requirements here is memory, and embedded MRAM has been able to withstand a 150°C junction temperature. That’s qualified for auto level 1.” (Auto level 0 needs to be certified at 175°C.)

The problem at this point is there is no single best architecture or manufacturing approach for an AI chip in an autonomous vehicle, and no accepted best approach for packaging together sensors or determining what data gets processed where. The entire concept of the edge in a vehicle varies significantly from one architecture to the next, and from one manufacturer to the next.

What’s still missing
So how do these two worlds—automotive OEMs and semiconductor manufacturers—get bridged sufficiently? One solution is cross-education, which will be required no matter what happens over the long-term.

“We have gone through a bit of an evolution where 30 or so years ago there wasn’t necessarily this fabless foundry situation,” said David Fried, CTO at Coventor. “Everybody was designing and fabricating their own product. Then we sort of broke the industry and went fabless and foundry and everything went in a different direction. The fabless folks said they don’t even want to know what’s going on in the fab. ‘You’re going to give me design rules, I’m going to design it, it had better yield, and if it doesn’t it’s your fault.’ Now things have gotten really complicated and that wall has started to get pretty thin. The fabless guys do really need to understand a lot of what’s going on in the fab and they have accepted and want that. In some ways the industry is coming back together in that way. I don’t necessarily see these companies going out buying fabs or building fabs, but the fabless and the foundry have started to come together. There are people who do really good silicon integration work at companies that don’t make silicon because they need to understand what is happening in the fabs for their products to work.”

Fried isn’t alone in seeing this trend. In fact, there is a recognition all the way from the front end of the design through to manufacturing.

“There is a gap of knowledge,” said Burkhard Huhnke, vice president of automotive strategy at Synopsys. “Automotive is still a mechanical-oriented industry. Now, suddenly everything goes electric and you require high-voltage components like inverters and IGBTs, and you need to simulate transient characteristics of everything. This might be a core competence in the future for car companies, but right now it’s just starting to change. There are a lot of newcomers from the smartphone industry and other similar models coming into the automotive industry.”

But it also will require a different way of looking at the automotive supply chain, from design all the way through manufacturing.

“If you look at how this used to work, it was a kind of waterfall model,” said Roland Jancke, head of the department for design methodology for Fraunhofer’s Engineering of Adaptive Systems Division. “So the OEM would provide the specification to the Tier One, which may be a Bosch or a HELLA, which is producing car systems such as air-bag or motor-control system. They would decide which Tier 2 to involve, which one is delivering the best chip, etc. Each level gives the specification to the next one, decides how to partition the system, and gives us specification for the subsystems to the next deeper down level. This model is no longer working because it’s too slow and there’s really not enough information given along the line. This is a rather long line, a value chain of sorts, and there is some information that is not handed over to the whole chain. Therefore, the carmakers don’t have the complete picture of what they’re getting when they involve certain technology in their cars.”

On the flip side, car companies need to understand how data is used to develop simulations and rely on the kinds of data that have allowed the semiconductor industry to flourish.

“When real-world data is available, not all of it is simulated,” said João Geada, chief technologist at ANSYS. “There is also some data from forced aging, where test chips/designs are put in extreme conditions to maximize aging effects—read high temperatures, high voltage, fast process corner—for extended periods. These provide some data against which to validate the simulated data. We (EDA) have gotten pretty darn good and very accurate at simulation. Once there are a few data points establishing that the simulations are matching observations, people just trust the simulation.”

This is brand new for the automotive industry, though, and in some areas standards don’t exist that are relevant to all of this electronic content.

“There are standards out there such as JEDEC standards, ISA norms, IEEE standards,” said Fraunhofer’s Jancke. “But some of them were defined 30 years ago. In the functional safety domain, it’s set in these standards that you need to give a failure rate for your component. But the failure rate that these suppliers are giving is coming from tables which have been set up some 30 years ago, so they are no longer valid or no longer meaningful. These standards need to be improved and adapted to the technologies that we have today.”

Conclusion
It’s far too early to tell how all of these pieces ultimately will fit together. It’s enough to electrify more functions in a vehicle, let alone get them to behave within accepted parameters of defined behavior. Data will be a key piece of moving the needle forward, but how quickly or from which direction isn’t entirely clear yet.

“The automotive industry has been getting reliability data on ICs for decades,” said Wally Rhines, president and CEO of Mentor, a Siemens business. “But the amount of data on balls and bumps and other kinds of manufacturing data is limited because the unit volumes and the designs that include advanced packaging are still small. Industry and manufacturing need enough data points for quality and reliability, but they may not need it at the same level as in the past. We’re still in the stage of sorting all of this out.”

When that stage gets completed and how the integration of these very different worlds ultimately looks is anyone’s guess.

Related Stories
Variation’s Long, Twisty Tail Worsens At 7/5nm
Multiple sources of variability are causing unexpected problems in everything from AI chips to automotive reliability and time to market.
Ensuring Chip Reliability From The Inside
In-chip monitoring techniques are growing for automotive, industrial, and data center applications.
Using AI In Chip Manufacturing
Coventor’s CTO drills down into predictive maintenance, the impact of variation, and what this means for yield and future technology.
Improving Yield, Reliability With Data
Outlier detection gaining attention as way of improving test and manufacturing methodologies.



Leave a Reply


(Note: This name will be displayed publicly)