Chip Industry Week In Review

Renesas buys Transphorm for GaN; Cadence buys Invecas for systems expertise; EDA numbers up 25%; flood of CES announcements; TI’s 1-chip radar sensor; ML attacks; AI everywhere.

popularity

By Jesse Allen, Karen Heyman, and Liz Allan

Renesas will acquire Transphorm, which designs and manufactures gallium nitride power devices, for about $339 million. GaN, which is a wide-bandgap technology, is used for high-voltage applications in a slew of markets, including EVs and EV fast chargers, as well as data centers and industrial applications.

Cadence acquired Invecas, a provider of design engineering, embedded software, and system-level solutions. As part of the deal, Cadence will gain expertise in advanced nodes, mixed-signal, verification, embedded software, packaging, and turnkey custom silicon production to help scale the company’s system design engineering offerings. Terms were not disclosed.

EDA industry revenue increased 25.2% to $4,702.4 million in the third quarter of 2023 compared to the same quarter last year, according to the ESD Alliance’s Electronic Design Market Data report. The four-quarter moving average rose 13.8%, which was the highest overall growth since Q4 1998.

Quick links to more news:

Design and Power
Manufacturing and Test
Automotive and Batteries
Security
Pervasive Computing and AI
In-Depth Reports
Events

Design and Power

Ansys launched a physics-agnostic software-as-a-service application (SaaS) that can speed up computation-heavy designs by 10 to 100X, combining predictive simulation with generative AI.

Expedera‘s Origin NPUs now offer native support for large language models, including stable diffusion, for generative AI on edge devices.

At CES 2024:

  • Intel announced its full Core 14th Gen mobile and desktop processor lineup.
  • AMD introduced the AMD Ryzen 8000G Series desktop processors and Ryzen AI, bringing a dedicated AI neural processing unit (NPU) to desktop PC processors for the first time.
  • NVIDIA announced GPUs for better generative AI performance, new AI laptops, and RTX-accelerated AI software and tools for developers and consumers.
  • Quadric offered sessions on how the general-purpose neural processing unit (GPNPU) solves ML inference chip design challenges.

Ambarella uncorked its N1 AI processor SoC for server-grade performance under 50W, along with a selection of pre-ported and optimized LLMs for generative AI and multi-modal vision analysis.

Micron Technology debuted LPDDR5X memory in the new LPCAMM2 (low-power compression attached memory module) form factor for laptop PCs.

DreamBig Semiconductor introduced a platform for developing chiplet-based designs. It offers standard interfaces and architecture agnostic support for CPU, AI, accelerator, I/O, and memory chiplets that can be composed in a package; secure boot and management of chiplets; an architecture with direct access from all chiplets to cache/memory tiers; and RDMA Ethernet networking.

Global Unichip Corporation (GUC) used Cadence’s Integrity 3D-IC Platform to assist in taping out a 3D stacked die design on an advanced finFET process involving a memory-on-logic configuration achieved with a wafer-on-wafer structure using a flip-chip chip scale package.

SEGGER uncorked an IDE for embedded software that supports both RISC-V and Arm targets.

Meanwhile, DRAM contract prices will increase by approximately 13% to 18% in Q1 2024, with mobile DRAM leading the recovery, reports TrendForce.

QuEra Computing announced its roadmap for a series of error-corrected quantum computers, with the aim of building a system with 100 logical error-corrected qubits and over 10,000 physical qubits in 2026.

Researchers from Argonne National Laboratory, the University of Chicago, the University of Iowa, and Tohoku University are using magnets to enable longer-distance communication between the nitrogen vacancy centers in diamonds that can be used as qubits.

RIKEN will include Quantinuum’s ion-trap quantum computing technology as part of a project to build a quantum-HPC hybrid platform.

Manufacturing and Test

SkyWater Florida and Deca won a $120 million award from the U.S. Dept. of Defense  to expand domestic fan-out wafer level packaging capabilities.

SK Siltron will provide Infineon with 150-millimeter SiC wafers, supporting the production of SiC semiconductors. Later, SK Siltron will assist Infineon’s transition to 200-millimeter wafers.

Glass substrates are starting to gain traction in advanced packages, fueled by the potential for denser routing and higher signal performance than the organic substrates used today.

China expressed concern to U.S. Commerce Secretary Gina Raimondo over U.S. curbs that prevent other countries from exporting lithography machines to China, reports Reuters.

India’s Tata Group plans to build a semiconductor fabrication plant in Gujarat this year. Construction of 20 GWh battery plant to start soon, the group seeks to establish EV tech hub in Sanand, Gujarat, reports Bloomberg.

SIA announced global semiconductor industry sales totaled $48.0 billion during the month of November 2023, an increase of 5.3% compared to the November 2022 total of $45.6 billion and 2.9% more than the October 2023 total of $46.6 billion.

Purdue University, in conjunction with industry leaders and other leading engineering schools, is offering Semiconductor Fabrication 101, a free, online course in the fundamentals of semiconductor fabrication.

The Michigan Economic Development Corporation (MEDC) announced $4.6 million in grants and funds to support eight local higher education institutions’ semiconductor education and training programs.

Automotive and Batteries

There was a ton of auto news at CES 2024. Among the highlights:

Intel announced it will acquire Silicon Mobility SAS to bring AI efficiencies to electric vehicle (EV) energy management. The company also said new AI-enhanced software-defined vehicle SoCs will enable in-vehicle AI and camera-based driver/passenger monitoring. In addition, the company plans to deliver an open automotive chiplet platform so customers can integrate their own chiplet into an Intel automotive product.

Infineon and Aurora Labs unveiled a set of AI-based solutions to improve the reliability and safety of critical automotive components, including steering, braking, and airbags.

Synopsys and partners showed how to optimize automotive SoCs, expedite SDV validation, and increase vehicle safety and security across the supply chain. Separately, the company offered four automotive predictions for 2024, including centralized zonal architecture.

Keysight showcased solutions to accelerate innovation in EV development.

NXP extended its automotive radar one-chip family, monolithically integrating a high-performance radar transceiver, a multi-core radar processor, and a MACsec hardware engine for secure data communication over Automotive Ethernet.

pSemi introduced an automotive-grade ultra-wideband (UWB) RF switch.

Texas Instruments (TI) debuted an industry-first single-chip radar sensor designed for satellite architectures and enabling more accurate advanced driver assistance systems (ADAS) decision-making, plus new driver chips to support control of power flow in battery management or other powertrain systems.

Qualcomm and Bosch introduced a central vehicle computer capable of running infotainment and ADAS functionalities on a single SoC.

Ambarella expanded its autonomous driving AI domain controller family with two new SoCs. One supports a sensing suite to enable mainstream L2+ feature sets, such as highway autopilot and automated parking. The other enables advanced L2+ (L2++) with urban autopilot.

NVIDIA said four Chinese companies, including phonemaker Xiaomi, will use its DRIVE technology to power automated driving.

Panasonic Automotive Systems announced its high-performance compute (HPC) system, Neuron, to address the needs for SDV advancements, allowing for both software and hardware updates and upgrades across platform lifecycles.

BMW demonstrated augmented reality (AR) glasses for driving and partnered with Amazon to show voice assistant LLM capabilities based on a current development project. Separately, BMW said its parent plant in Munich will produce only EVs, starting in 2027.

Volkswagen presented vehicles with ChatGPT integrated into its IDA voice assistant.

Continental introduced a biometric face authentication system, enabling the vehicle to open and start up as soon as it detects a registered user, with the ability to detect attempted deception.

Stellantis, BlackBerry, and AWS launched a virtual cockpit to enhance in-vehicle software engineering.

Hyundai will expand its hydrogen initiatives and transition from SDV to SDx, or software-defined everything.

Kia revealed its highly modular Platform Beyond Vehicle (PBV) strategy for five concept models, featuring Hyundai’s SDx technology.

Honda premiered two futuristic looking EV concept models, Saloon and Space-Hub.

Bosch Mobility’s technology is enabling EVs to drive themselves to charging stations. It won a CES Innovation Award, and the company outlined a hydrogen strategy, valet charging, and more.

Fig. 1: Automated valet charging. Source: Bosch press download

In other news, the European Commission approved €902 million (~$987 million) to support Northvolt’s construction of an EV battery plant.

Siemens Digital Industries and Voltaiq collaborated to accelerate battery manufacturing.

Allegro MicroSystems announced an isolated gate-driver IC with critical safety features for e-Mobility and clean energy applications, including OBC/DCDC, solar inverter, and data center power supply.

Vietnamese automaker VinFast will invest up to $2 billion to build an EV factory in Tamil Nadu, India, reports AP News.

Tesla lowered its EV range estimates as a new U.S. government vehicle-testing regulation kicks in, aiming to make sure automakers accurately reflect real-world performance, reports Reuters. The company also broke ground on its in-house lithium refinery, in Corpus Christi, Texas.

In battery research, Argonne National Laboratory patented a cathode material that replaces lithium ions with sodium, which would be much cheaper for EVs. To reduce weight and increase EV range, Oak Ridge National Laboratory (ORNL) developed a metal-free current collector made of a polymer-based composite with carbon fibers. Harvard University developed a lithium metal battery that can be charged and discharged at least 6,000 times. And Pacific Northwest National Laboratory (PNNL) and Microsoft quickly found a new battery material by using advanced AI and high-performance computing (HPC).

Security

The National Institute of Standards and Technology and partners published a report about adversarial machine learning attacks and mitigations as part of NIST’s effort to support the development of trustworthy AI.

Fig. 2: An AI system can malfunction if an adversary finds a way to confuse its decision making. Source: NIST

Researchers at George Mason University and Queen’s University Belfast detailed hardware Trojans obfuscation (HTO) methodologies for ASICs and FPGAs, and evaluated their approach using TrustHub benchmark.

The Cybersecurity and Infrastructure Security Agency (CISA) issued various alerts with vulnerabilities concerning Adobe and Apple, and an Ivanti security update to address authentication bypass and command injection vulnerabilities.

Pervasive Computing and AI

The augmented reality (AR) market is projected to grow by 54% year over year in 2024, reports Counterpoint, reversing three years of straight decline. Extended Reality (XR) headset shipments are expected to increase by a record 3.9 million units.

Not surprisingly, augmented reality and AI featured strongly at CES 2024:

  • Siemens partnered with Sony on an immersive engineering solution combining a head mounted display with Xcelerator software.
  • Siemens will integrate Amazon Bedrock AI models service into its Mendix low-code development platform to enable customers to easily incorporate generative AI in applications.
  • Synopsys and Packetcraft are teaming up to integrate Packetcraft’s Bluetooth Qualified 5.4 Host software with Synopsys’ Bluetooth LE radio and link layer controller IP.
  • Sony launched an immersive spatial content creation system with an XR head-mounted display and a pair of controllers for intuitive interaction with 3D objects and precise pointing.
  • Applied Materials and Google said they are collaborating on advanced technologies for augmented reality (AR).
  • Omnivision uncorked a small form factor liquid crystal on silicon (LCOS) panel that integrates the LCOS array, driving circuit, frame buffer, and interface in a single chip for AR/XR/MR glasses.
  • Brewer Science introduced next-gen water quality sensing systems.

The market value of consumer electronics 3D sensing VCSEL is expected to reach US$1.404 billion by 2028, with an estimated CAGR of about 11% from 2023 to 2028, reports TrendForce. “The upcoming 2024 launch of the Apple Vision Pro, equipped with a trio of cutting-edge 3D sensing technologies — Structured Light, Direct Time of Flight (dToF), and Active Stereo Vision — is poised to significantly propel the 3D sensing market.” AR and VR products from Sony, Meta, Microsoft, and Google will fuel further growth.

The Wi-Fi Alliance finalized its certification program for the Wi-Fi 7 specification. Wi-Fi 7 includes features such as 320MHz channels, multi-link operation, 4K quadrature amplitude modulation (QAM), and 512 compressed block-ack.

Atmosic Technologies introduced a line of SoCs that support Bluetooth LE 5.4 as well as IEEE 802.15.4 based protocols including Thread and Matter for ultra-low power end IoT devices.

SiLC Technologies unveiled the Eyeonic Vision System Mini with precision LiDAR technology targeted to machine vision applications including robotics, warehouse logistics, and industrial automation.

Berkeley Lab scientists developed multipurpose, recyclable nanosheets for electronics, energy storage, and health and safety applications.

Deloitte announced Atlas AI built on the NVIDIA AI and NVIDIA Omniverse platforms with a novel drug discovery accelerator to expedite research and bring new drugs to market faster by using generative AI models.

University of Oxford researchers used machine learning to close the reality gap, a difference between predicted and observed behavior from quantum devices.

A soft, wearable robot can help a person living with Parkinson’s walk without freezing, according to Harvard University and Boston University researchers.

Robots can execute complex plans more transparently when trained on multiple AI models, according to researchers at MIT’s Improbable AI Lab. “Each foundation model captures a different part of the decision-making process and then works together when it’s time to make decisions.”

Google was ordered to not use jurors’ private information during jury selection in U.S. District Court in Massachusetts. Google is the defendant in a patent infringement lawsuit filed by Singular Computing over an AI chip. Singular is seeking $1.67 billion damages, according to Reuters.

In-Depth Reports

More new stories by the Semiconductor Engineering team.

Low Power-High Performance:

  • Special Report: The problem of glitch power is particularly acute in AI accelerators, and fixes require some complex tradeoffs.
  • Corporate restructuring has been increasing over the past decade, and often it is more difficult to incorporate than technological advances due to the siloed nature of the semiconductor industry.
  • Expert discussion: From attempts to resolve thermal and power issues to the roles of CXL and UCIe, the future holds several opportunities for memory.
  • Expert discussion: Tools and optimizations are needed for SRAM to play a role in AI hardware while other memories are making inroads.

Test, Measurement & Analytics:

  • The goal of failure analysis labs is to find the causes of failures faster and much earlier — preferably before first silicon.
  • Consistent unique identifiers can help plug gaps in the IC supply chain.
  • Video: Using internal deep data to determine failure rates and how close an individual device is to failure.

Events

Find upcoming chip industry events here, including:

Event Date Location
Automotive World: Advanced Automotive Technology Show Jan 24 – 26 Tokyo, Japan
Speed, Protocol and Security: New Automotive Network Challenges Jan 24 Novi, MI
SPIE Photonics West Jan 27 – Feb 1 San Francisco, CA
DesignCon 2024 Jan 30 – Feb 1 Santa Clara, CA
Chiplet Summit Feb 6 – 8 Santa Clara, CA
The Rise of Photonic Computing Feb 7 – 8 San Jose, CA
Wafer-Level Packaging Symposium Feb 13 – 15 Hyatt Regency San Francisco Airport
2024 IEEE International Solid-State Circuits Conference (ISSCC) Feb 18 – Feb 22 San Francisco, CA
PCI-SIG Developers Conference Feb 19 – Feb 20 Taipei, Taiwan
All Upcoming Events

Upcoming webinars are here.

Further Reading and Newsletters

Read the latest special reports and top stories, or check out the latest newsletters:

Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials
Automotive, Security and Pervasive Computing



Leave a Reply


(Note: This name will be displayed publicly)