Cloudy Outlook Seen For IC Biz

The remainder of 2019 is mixed, but 2020 is looking better—at least for now.

popularity

After a slowdown in the first half of 2019, chipmakers and equipment vendors face a cloudy outlook for the second half of this year, with a possible recovery in 2020.

Generally, the semiconductor industry began to see a slowdown starting in mid- to late-2018, which extended into the first half of 2019. During the first half of this year, memory and non-memory vendors were negatively impacted by lackluster demand and excess inventories. That was exacerbated by the ongoing trade war between the United States and China.

Going forward, the outlook is mixed for the second half of this year. Memory is expected to remain weak, but logic and other non-memory chip sectors are picking up. Packaging houses also are seeing renewed demand, particularly for advanced packaging.

During its recent Q2 conference call, TSMC gave the industry some renewed optimism. The foundry giant says that it has passed the bottom of the current cycle with a better outlook for the second half of the year.

Artificial intelligence (AI), 5G and high-performance computing are among the bright spots for TSMC and other device makers, but automotive remains sluggish. The U.S.-China trade war is the biggest unknown. Recently, the U.S. government banned U.S.-based vendors from selling chips to Chinese telecom giant Huawei. The U.S. is beginning to ease the restrictions, but confusion persists. Ultimately, chip suppliers with exposure into Huawei face some uncertainty, if not reduced sales.

It’s not all doom and gloom, though. The semiconductor market is projected to grow by 9.7% for the second half of 2019, according to IC Insights. But that won’t make up for the steep downturn in the first half. Citing the ongoing memory downturn, the overall IC market is projected to decline by 15% in 2019 over 2018, according to the firm.

In 2020, the chip business is expected to rebound and grow by 6%, according to IC Insights. “We see some of the momentum of the second half rebound in the IC market carrying into 2020,” said Bill McClean, president of IC Insights. “Probably the most important assumption for growth to return in 2020 is stabilizing memory pricing. With big cutbacks in CapEx occurring for memory this year, and probably extending into next year, the supply/demand balance should return.”

Memory prices are also expected to rebound in 2020. “If this occurs, we should see mid- to high single-digit growth for the total IC market next year,” McClean said.

Of course, the forecast could change overnight due to several variables. To help the industry get ahead of the curve, Semiconductor Engineering has taken a look to see what’s ahead in various sectors—fab equipment, foundry, memory and packaging.

Forecast for equipment: weak
It’s been a tough period for the semiconductor equipment industry, which is in the midst of a downturn. The problem started in 2018, when both DRAM and NAND fell into an oversupply mode, causing equipment orders to slow. In 2018, equipment orders were better in the foundry sector.

The downturn spilled over into the first half of 2019. While there is some optimism in the overall semiconductor market in the second half of 2019, the near-term equipment outlook is gloomy. “The second half will be better for semis,” said Dan Hutcheson, chief executive of VLSI Research. “It’s not going to be better for semiconductor equipment.”

In total, the semiconductor equipment market is expected to decline by 17.3% in 2019, according to VLSI Research. That compares to 16.6% growth in 2018, according to the firm.

“You have two things going on. You have an excess supply in memory, which created a price related downturn,” Hutcheson said. “Now, we’ve seen a macro slowdown, because the economy has been slowing. The economy in Europe has slowed. China’s economy has slowed. You’ve also had weakness in demand.”

In the second half of 2019, fab tool vendors see a mixed picture. Simply put, memory remains weak, while foundry is gaining steam.

In the leading-edge foundry segment, for example, Samsung and TSMC are ramping up 7nm processes, with 5nm slated for 2020. For these nodes, Samsung and TSMC are inserting extreme ultraviolet (EUV) lithography in the fab.

EUV uses a 13.5nm wavelength technology to print fine features on devices. But it has taken the industry longer than expected to deploy EUV due to challenges with the technology.

Still, ASML, the sole supplier of EUV scanners, is benefitting from the transition. “The industry is going through a technology cycle,” Hutcheson said. “Lithography is seeing the least of the downturn. EUV is going strong.”

Not all 300mm tool segments are thriving, though. “If we look at the wafer fab equipment outlook, the thing that has been hit the hardest is ion implant, CMP and etch. Etch and clean are getting hit because of the slowdown and excess capacity in NAND,” he said.

EUV is not the only bright spot. After a lull, the 200mm equipment market is heating up again. “From a total market perspective, the demand for 200mm equipment is certainly outstripping availability,” said David Haynes, senior director of strategic marketing for Lam Research.

Others see demand for different applications “For the second half, we are seeing a pick-up in all sectors, in particular, RF power amplifiers and filtering for 5G infrastructure, which are 200mm and 150mm wafer activities,” said Kevin Crofton, president of SPTS and executive vice president at Orbotech. (KLA recently completed its previously announced acquisition of SPTS/Orbotech.)

In the backend, meanwhile, the packaging equipment market is bouncing back, at least in some segments. “Advanced packaging is a bright spot,” said Subodh Kulkarni, president and chief executive at CyberOptics. “Advanced packaging inspection is a bright spot because you need inspection at every step.”

There are other trends in the equipment business as well. “Right now, 3D integration represents the biggest growth. Advanced packaging is following that. MEMS is still a good amount of business. If you look at MEMS, the growth rates are static. They are getting cheaper. The companies have to look for different growth opportunities in MEMS. One thing we see getting more popular, for example, is photonics integration in general,” said Thomas Uhrmann, director of business development of EV Group.

So what about 2020? Citing a rebound in the memory market and other factors, the semiconductor equipment business is expected to bounce back and grow by 7.3% in 2020, according to VLSI Research.

On average, fab capacity utilization rates are expected to jump from 84.3% in 2019 to 90.1% in 2020, according to the firm. “Usually, when it’s above 90%, that’s when you see a pretty strong equipment market,” VLSI Research’s Hutcheson said.

In another forecast, equipment sales will reach $58.8 billion in 2020, up 11.6% over 2019, according to SEMI. That compares to an 18.4% decline in 2019. In 2020, China is expected to become the world’s largest equipment market, surpassing Korea, according to SEMI.


Figure 1: Size of equipment market and region growth trends Source: SEMI

Mixed foundry outlook
Most foundry vendors ended 2018 with a sudden slowdown, which extended into the first part of 2019. Going forward, foundry vendors face a mixed outlook for the remainder of 2019 with some optimism seen for 2020.

“Currently, I would say the foundry market will be flat for 2019 with 5% growth in 2020,” IC Insights’ McClean said.

The foundry business involves several markets—leading edge, mature nodes and specialty processes. Each segment has a different set of growth dynamics.

The leading-edge foundry market involves several process nodes, such as 16nm/14nm, 10nm/7nm and beyond. At those nodes, foundries are ramping up processes based on finFET transistors. (Intel started finFET production at 22nm.) Targeted for high-end applications, finFETs are faster and use less power than traditional planar transistors.

The 7nm foundry market is coming from a small base, but it is one of the few bright spots in 2019. In total, the 7nm foundry market is expected to reach $10.04 billion in 2019, up 218% over 2018, according to IBS.

“[The 7nm market] will be driven by new product launches of premium smartphones, the acceleration of 5G development, and the increasing adoption of our 7nm node by high-performance computing applications,” said C. C. Wei, chief executive of TSMC, in a recent conference call.

AI is another driver. A plethora of new AI fabless chip startups are surfacing, which are designing chips around machine learning and deep learning.

Whether AI chips require 7nm and 5nm remains unclear, but there is a need for more compute performance in this arena. “Since available data for all problem domains are each increasing geometrically, it is virtually guaranteed that the computing power required will increase substantially, just to handle the deep learning loads,” said Aki Fujimura, chief executive of D2S.

Not all foundry customers require 10nm/7nm and 5nm. “If you look out at 2022 or 2023, 12nm and above technologies are roughly 80% of the market,” said Gary Patton, CTO of GlobalFoundries. “If you went back 10 years, it used to be a larger percentage—50% would be leading edge. But there are fewer players going into it. It’s getting more costly.”

Some legacy markets are doing better than others. For example, the 28nm foundry market, which fell into an oversupply mode in 2018, shows no sign of a rebound in 2019.

Foundries have higher hopes for their new 22nm and 18nm processes. 22nm also represents a starting point for the introduction of a next-generation memory called STT-MRAM. STT-MRAM combines the speed of SRAM and the non-volatility of flash with unlimited endurance.

Five chipmakers—GlobalFoundries, Intel, TSMC, Samsung and UMC—are developing and/or ramping up an embedded version of STT-MRAM for use in microcontrollers (MCUs) and other devices.

An MCU consist of a CPU, embedded flash memory, SRAM and peripherals. Embedded flash, namely NOR, is running out of steam at the 28nm/22nm node.

That’s where embedded STT-MRAM fits in—it will replace NOR to boost the performance of MCUs. “Systems will incorporate a microcontroller that will need lower power to reduce battery consumption and deliver high endurance,” said David Hideo Uriu, product marketing director at UMC.

Embedded STT-MRAM is targeted for other apps. “In addition, high-density MRAM is suitable for cache, acceleration of NAND flash, or as a replacement for SRAM applications,” Uriu said.

For years, meanwhile, foundry vendors and device makers have been chasing after the automotive market. The automotive chip segment was sluggish in the first part of 2019. The automotive market itself is weak amid a slowdown in China.

Electric vehicles (EV) are a bright spot, especially in China. EV sales in China jumped 85% from July 2018 to March 2019, according to IHS.

EVs represent a small part of the worldwide car market, but the business is growing. “It’s absolutely taking off with high compound annual growth rates, but it comes from a very small base,” said Guy Moxey, senior director of power products at Wolfspeed.

All told, 5G, AI, EVs and other segments are all promising. But based on the current environment, the visibility is still cloudy for the entire IC industry. For example, in its recent quarterly conference call, Jason Wang, co-president of UMC, said: “Despite US-China trade tensions creating market uncertainty, we anticipate that specific areas within the wireless communication sector will have a short term upward adjustment in the supply chain, which should lead to a slight increase in wafer demand. However, we have observed that customers are continuing to manage their inventory carefully amid a weakened global economic environment, which may contribute to lower visibility in business forecast during the second half of 2019.”

Memory woes
Meanwhile, it has been a difficult period in the memory market, particularly for DRAM and NAND. In 2018, the NAND market declined and fell into an oversupply mode, which extended into the first part of 2019. The DRAM market is in the same boat.

DRAM is used for main memory in systems. Targeted for storage, 3D NAND is the successor to today’s planar NAND flash memory. Unlike planar NAND, which is a 2D structure, 3D NAND resembles a vertical skyscraper in which horizontal layers of memory cells are stacked and then connected using tiny vertical channels.

3D NAND is quantified by the number of layers stacked in a device. As more layers are added, the bit density increases, enabling SSDs with more storage capacity. Today, suppliers are ramping up 96-layer 3D NAND devices, with the first 128-layer 3D NAND products due out by year’s end.

While both DRAM and 3D NAND continue to advance, the two memory markets remain gloomy. “The current NAND forecast is for a 32% decline in 2019 to $40.6 billion with bit volume growing 42%, driven by elasticity of demand,” IC Insights’ McClean said. “The current DRAM forecast is for a 38% decline to $62.0 billion in 2019, down from $99.4 billion in 2018 with bit volume up 17% this year.”

This picture is expected to change next year. “After a 33% drop in memory IC ASPs forecasted for this year, we are forecasting a 9% increase in memory ASPs for 2020,” McClean said.

Others also see a recovery in memory. “In contrast to memory, (the foundry market) is more stable,” said Bob Hollands, director of marketing at ASM International. “The biggest issue at the moment is the memory market. That’s no secret. The question is when does it turn? From most people I’m hearing from, it’s kind of a two-year cycle. That means sometime next year when it gets better. The predictions are all over the place about when it starts to grow again.”

Meanwhile, after years of R&D, the new types of memories are finally gaining some traction, as well. STT-MRAM, phase-change memory (PCM) and resistive RAM (ReRAM) are among the new memory types in production. Emerging memories are a small market, but they are expected to reach $20 billion of combined revenues by 2029, according to Objective Analysis and Coughlin Associates.

STT-MRAM is gaining steam. The same is true for PCM, sometimes called PCRAM. Intel’s 3D XPoint technology is one example of PCM. PCM stores information in the amorphous and crystalline phases. ReRAM is based on the electronic switching of a resistor element.

“PCRAM and ReRAM are fast, nonvolatile, low-power, high-density memories that can be used as storage-class memory to fill the widening price-performance gap between server DRAM and storage,” said Kevin Moraes, vice president of Metal Deposition Products at Applied Materials, in a blog. “As the industry moves forward in the AI era of computing, there will be increasing interest in new types of memory along with continued advancements in conventional memories. Key to all these efforts is the use of new materials and 3D structures to achieve improvements in chip performance, power and cost.”

More advanced packaging
In IC packaging, meanwhile, the outlook is also mixed. In the first half of 2019, packaging houses saw a slowdown in most segments.

Fueled by advanced packaging, the overall IC packaging market is expected to rebound in the second half of 2019. In total, the advanced packaging market is expected to grow 6% in 2019, according to Yole Développement.

“The advanced packaging market shows signs of improvement for the second half of the year,” said Warren Flack, vice president of lithography applications at Veeco’s Ultratech Business unit. “Most of the OSATs are running higher utilization in Q3 due to the seasonal demand cycle for mobile chips. We also see increased strength in 2.5D interposer and fan-out package demand mainly from high performance computing, graphic processors, AI, and 5G related customers. The memory market continues to be conservative in CapEx spending because of the softness in prices and inventory buildup.”

In advanced packaging, the momentum is building for fan-out and chiplets. Fan-out is classified as a wafer-level package, where the dies are packaged while on a wafer. Fan-out is split into two segments–low-density (less than 500 I/Os) and high-density (more than 500 I/Os).

“Mobile continues to be one main growth driver for both low-density and high-density fan-out,” said John Hunt, senior director of engineering for ASE. “Automotive will start to pick up momentum, as we get fan-out qualified for grade 1 and 2. And server applications are seeing growth for the high-end market.”

The next big thing is chiplets. In chiplets, the idea is that you have a menu of modular chips, or chiplets, in a library. Then, you integrate them in a package using a die-to-die interconnect scheme.

Conclusion
The signs are better for chipmakers, equipment vendors and packaging houses in the second half of 2019. But to be sure, there are many variables and unknowns.

Based on past history, though, the current downturn won’t last forever. “This industry has always gone through cycles. This is one of those cycles. We always come out of it and come out of it quickly,” CyberOptics’ Kulkarni said.

Related Stories

Fab Equipment Challenges For 2019

Packaging Biz Faces Challenges in 2019



Leave a Reply


(Note: This name will be displayed publicly)