Chip Industry Week In Review

Amkor’s $400M advanced packaging funding; SK hynix plans DRAM/HBM fab; rare-earths deal; top technology megatrends; DDR5 standard; chiplet packaging deal; SDV partnership; legacy process nodes; UK-India deal; DRAM, NAND flash revenue.

popularity

The U.S. Department of Commerce and Amkor Technology signed a deal to provide up to $400 million in funding, under the CHIPS and Science Act, to build a previously announced end-to-end advanced packaging plant. The combined funding is expected to total about $2 billion. The new facility will add some 2,000 jobs in Peoria, Arizona.

The SK hynix Board approved its Yongin Semiconductor Cluster investment plan, with 9.4 trillion won (~$6.8 billion) to build the first of four planned fabs for next-gen DRAM and HBM in Korea. Construction is to start in March next year and be completed in May 2027. The new fab will be in a complex with more than 50 other local companies. In addition, SK hynix plans to build a “Mini-fab” within the first phase to help small businesses develop, demonstrate, and evaluate technologies.

Korea’s Trident Global Holdings inked a joint venture deal with Ohio-based Zoetic Global to co-develop rare-earth mines. The deal is significant from a supply chain perspective because the vast majority of the rare-earths — used for everything from magnets in hard disk drives to adsorbing impurities in semiconductor materials — are mined and processed in China. Trident has strategic agreements with several mines in northern Vietnam, home to an estimated 20% of the world’s supply of rare earths, according to company CEO Sam Chi.

IEEE released its 2024 Technology Megatrends report, providing in-depth analysis of artificial general intelligence, digital transformation, and sustainability. The report, drawn from the expertise of 54 global technology experts from around the world, is available for free to IEEE members and non-members.

JEDEC published details about its upcoming standards for DDR5 Multiplexed Rank Dual Inline Memory Modules (MRDIMM), which allow multiple data signals to be combined and transmitted over a single channel without the need for additional physical connections, and a next-generation Compression-Attached Memory Module (CAMM) for LPDDR6 targeting a maximum speed greater than 14.4 GT/s.

ASMPT and IBM renewed their agreement to jointly develop chiplet packaging technologies. Their work will advance thermo-compression and hybrid bonding technology for chiplets in advanced packages.

The U.S. National Science Foundation (NSF) and the Department of Commerce (DoC), including CHIPS for America and NIST, will jointly invest in an initiative to train the future semiconductor workforce across all levels and job types.

Tata Technologies and Arm formed a strategic partnership to drive innovation in software-defined vehicles (SDVs) and reduce development time.

Micron claims its new Data Center SSD, the Micron 9550 NVM3 SSD, is the world’s fastest, with 14.0 GB/s sequential reads and 10.0 GB/s sequential writes.

Despite all the buzz around leading-edge processes, demand continues to grow for mature nodes, which are more capable than in the past.

Quick links to more news:

Global
In-Depth
Market Reports
Education and Training
Security
Product News
Quantum
Research
Events and Further Reading


Global

The National Institute of Standards and Technology (NIST) launched an open competition for a Manufacturing USA institute focused on using AI to increase resilience, with expected funding of up to $70 million over five years. The Notice of Funding Opportunity is posted on  Grants.gov. Deadline is September 30, 2024.

EFC will invest $210 million in a manufacturing facility to be located in McGregor, Texas. It will feature chemical synthesis operations for electronic gases and deposition precursors, specialty gas transfill facilities, a central laboratory, a logistics hub, and an administration building.

The UK and India launched a new ‘Technology Security Initiative’, including a semiconductor focus with academic and industrial R&D collaboration in chip design/IP, advanced packaging, compound semiconductors, workforce development and more. Also in India, the Coalition for Responsible Evolution of AI (CoRE-AI) was formed with over stakeholders aiming to foster responsible development and deployment of AI technology, per The Hindu.

In China, Foxconn will build a new business headquarters in Zhengzhou with a construction area of ​​about 700 acres and a total investment of about RMB 1 billion (~$138 million), with support from the Henan Provincial Government.

Supercomputer development will likely slow, according to The Wall Street Journal, as China splits from joint collaborations with the U.S.

AMD president Victor Peng will retire, effective Aug. 30. As part of the transition, Vamsi Boppana, senior vice president of the Artificial Intelligence Group (AIG), will expand his current responsibilities to include the AMD Instinct data center AI accelerator business.


In-Depth

Semiconductor Engineering published two newsletters this week. The Systems and Design newsletter featured these top stories:

And the Manufacturing, Packaging & Materials newsletter featured these reports:

This week’s video:


Markets and Money

Synopsys recently acquired Valtrix Systems, which provides a bare-metal software system for verifying RISC-V and ARM-based chips.

DRAM and NAND flash revenues will increase 75% and 77%, respectively, in 2024, with further growth in 2025 driven by increased bit demand, an improved supply-demand structure, and the rise of high-value products like HBM, according to TrendForce.

Yole Group:

Recent fundings include:

  • Rebellions raised $15 million in a Series B extension. The startup develops domain-specific AI processors for interference in the data center and finance sector.
  • SirenOpt drew $6.6 million in seed funding for its advanced materials characterization platform utilizing cold atmospheric plasma. It targets advanced coatings, thin films, and nanoscale materials used in semiconductors, electronics, batteries, and aerospace.
  • The UK’s Quinas, in conjunction with IQE, received a UK project grant of $1.43 million to scale up its non-volatile ULTRARAM technology, which it claims rivals DRAM in speed, energy efficiency, and endurance.

The European Innovation Council Accelerator announced its latest round of grant and equity investments in technology startups. They include:

  • EYE4NIR (Italy): Up to €13.5M for an image sensor based on germanium-on-silicon thin films that selectively detect both visible-near infrared and short-wave infrared radiation within the same pixel for autonomous driving and robotics.
  • Pharrowtech (Belgium): Up to €7.5M for mmWave RF beamforming transceivers and Phased Array Antenna Modules for Wi-Fi, 5G, fixed wireless access, and wireless HDMI.
  • Spherical Systems (Netherlands): Up to €4.0M for space-grade semiconductors for electronic subsystems in satellites.
  • QuiX Quantum (Netherlands): Up to €17.5M for low-loss photonic quantum processors and computers.
  • Quantum Transistors (Israel): Up to €17.5M for a single-chip solid-state quantum processor that uses photonics to connect each qubit.
  • QC Design (Germany): Up to €4.0M for quantum design automation software used to develop logical qubits and architectures for fault-tolerant quantum computing.

Education and Training

SEMI Europe and an 11-partner European Chips Diversity Alliance (ECDA) launched a new consortium to reach under-represented groups, aiming to lower barriers for joining the semiconductor workforce.

The U.S. Department of Labor announced $65 million in grant funds is available for the fifth round of the Strengthening Community Colleges Training Grants (SCC5), which aims to build capacity to meet the skills needs of employers and support students in obtaining good jobs in in-demand industries, including advanced manufacturing. Applications are due by Sept. 24.

Nanotronics released nSpec ES, a tool designed to support universities, research centers, and semiconductor training programs with technology at preferential pricing to ensure broad access for America’s future pool of advanced manufacturing talent.

The University of California Board of Regents approved the creation of a new School of Computing, Information and Data Sciences (SCIDS) at UC San Diego.

UC Santa Cruz invites college students from across the region to help shape the future of semiconductors at its July 26 – 27 workshop.

Emerson‘s NI Test and Measurement business will train defense and security personnel at the Defence Academy of the UK, delivered by Cranfield University on advanced simulation and measurement technologies.


Security

Intel determined the cause of Core 13th/14th Gen desktop processor instability was elevated operating voltage, stemming from a microcode algorithm resulting in incorrect voltage requests to the processor. It is delivering a microcode patch addressing the root cause of exposure.

CrowdStrike released a Preliminary Post Incident Review about the content configuration update last Friday that caused a global Microsoft Windows outage. “Due to a bug in the Content Validator, one of the two Template Instances passed validation despite containing problematic content data.”

In security research:

  • University of Nebraska-Lincoln and National University of Singapore researchers proposed a lightweight run-time attestation approach to detect hardware trojans or malicious modifications in a hardware design.
  • Sungkyunkwan University researchers in South Korea reported a low-power, low-cost TRNG developed by exploiting stochastic ferroelectric polarization switching in 2D ferroelectric CuInP2S6 (CIPS)-based capacitive structures.

Interface, an EU think tank, published a position paper with two calls to action for the AI/ML research community, aimed at supporting governance.

IBM launched SkillsBuild certificates in cybersecurity and data analytics, piloted and designed with community colleges, and available in Alabama, the Bay Area, Colorado, and Louisiana.

NTU Singapore and the Technical University of Munich are collaborating on the Quantum Sovereignty and Resilience (QUASAR) program to strengthen post-quantum cybersecurity.

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Product News

Infineon released a PSoC 6 AI Evaluation Kit for embedded, edge AI, and ML system designs. It executes inferencing next to the sensor data source, providing enhanced real-time performance and power efficiency compared to cloud-centric solution architectures. The company also announced its CoolSiC 2000 V modules were selected by Daihen for use in unit-type power conditioners for grid storage batteries.

Fig. 1: Infineon’s PSoC 6 AI Evaluation Kit. Source: Infineon

Siemens and BAE Systems signed a five-year agreement to boost innovation in engineering and manufacturing and will deploy Siemens’ Xcelerator open business platform through FalconWorks, the research and development business within BAE Systems’ Air sector. Siemens also announced that Natilus adopted its Xcelerator portfolio of industry software to reshape the future of air freight.

Fig. 2: Air – Factory of the Future technology. Source: Siemens. Image credit: BAE Systems/Ray Troll

Ansys unveiled the latest updates to its product suite, including a new solver targeted at deep electromagnetic analysis for IC sign-off across power and signal integrity analysis. Additionally, its electromagnetic modeling software added an AI-driven IC floor-plan optimization solution to identify an ideal layout for mitigating electromagnetic coupling issues in analog and RF IC designs.

Keysight’s Riscure Security Solutions device security research lab completed Car Connectivity Consortium (CCC) Digital Key Applet (DKA) certification for NXP Semiconductors’ automotive Digital Key solution. Additionally, the University of Malaga’s Mobile & Aerospace Networks Lab selected Keysight’s RAN Intelligent Controller Test Solutions to create testing models for RAN Intelligent Controllers covering both near-real-time RIC and non-real-time RIC for advanced network intelligence management functions.

Amazon is “racing” to develop faster and less costly AI chips than NVIDIA’s, according to Reuters.

SkyWater received a Multicolumn E-Beam Lithography (MEBL) system for volume production from Multibeam, which offers a high-throughput direct write patterning system that is far faster than conventional e-beam tools.


Research

ETH Zurich and Intel Corporation researchers earned a best paper award at ISCA 2024 with their joint work, “Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution.”

Researchers from Intel, Microsoft, and the University of Washington co-published “An Introduction to the Compute Express Link (CXL) Interconnect,” covering the CXL 1.0, 2.0, 3.0 standards and their impact on the data center landscape.

NTT and Okayama University researchers in Japan developed a world-first gigahertz ultrasonic circuit utilizing the principle of topology, expected to lead to miniaturization and high performance of ultrasonic filters used in wireless communication devices.

Caltech engineers built a metasurface patterned with miniscule tunable antennas capable of reflecting an incoming beam of optical light to create many sidebands of different optical frequencies, paving the way to a new type of wireless communication channel, new range-finding technologies, or a new way to relay larger amounts of data to and from space.

Nature Nanotechnology presented a focus issue on how the research community is pushing device performance boundaries of 2D transistors, and the devices’ role in future computing.

Argonne National Laboratory-led researchers used biodegradable luminescent polymers aiming to reduce electronic waste.

Fig. 3: New method allows design of light-emitting semiconductors that are both biodegradable and recyclable. Source: Argonne National Lab. Image by: Jie Xu and Yukun Wu.

MIT engineers identified proton-conducting materials that could enable energy-efficient fuel cells, electrolyzers, batteries, or computing devices.

SLAC National Accelerator Laboratory and Stanford University scientists developed an AI-based method to accelerate targeted materials discovery.


Quantum

imec fabricated Si MOS quantum dot qubits on a 300mm CMOS platform with very low charge noise, enabling high-fidelity qubit control.

Universal Quantum uncorked an ASIC for control and error correction of its trapped-ion quantum processors.

IonQ updated its roadmap, aiming to improve native two-qubit gate performance to >99.9% in 2025, with further gains the following year with a move to barium qubits.

Classiq debuted a high-level language for quantum coding. Classiq will also integrate QuEra’s neutral-atom quantum computers into its platform.

PsiQuantum intends to build out a utility-scale quantum computer at the upcoming Illinois Quantum and Microelectronics Park.


Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
Atomic Layer Deposition (ALD 2024) Aug 4 – 7 Helsinki
Flash Memory Summit Aug 6 – 8 Santa Clara, CA
USENIX Security Symposium Aug 14 – 16 Philadelphia, PA
SPIE Optics + Photonics 2024 Aug 18 – 22 San Diego, CA
Cadence Cloud Tech Day Aug 20 San Jose, CA
Hot Chips 2024 Aug 25- 27 Stanford University/ Hybrid
Optica Online Industry Meeting: PIC Manufacturing, Packaging and Testing (imec) Aug 27 Online
SEMICON Taiwan Sep 4 -6 Taipei
DVCON Taiwan Sep 10 – 11 Hsinchu
AI HW and Edge AI Summit Sep 9 – 12 San Jose, CA
GSA Executive Forum Sep 26 Menlo Park, CA
SPIE Photomask Technology + EUVL Sep 29 – Oct 3 Monterey, CA
Strategic Materials Conference: SMC 2024 Sep 30 – Oct 2 San Jose, CA
Find All Upcoming Events Here

Upcoming webinars are here.


Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials

 

 



Leave a Reply


(Note: This name will be displayed publicly)