Knowledge Center
Navigation
Knowledge Center

Advanced (Smart) Fill

At newer nodes, more intelligence is required in fill because it can affect timing, signal integrity and require fill for all layers.
popularity

Description

Each new process technology comes with many new design rules related to fill. For example, at 20nm fill shapes must be evenly distributed and also require a greater variety of fill shapes. Designers need to add fill not just to metal layers, but also to poly, diffusion, and via layers. In many cases, these layers are added together and referred to as multi-layer fill.


Changing world of fill, left is a 65nm fill results based on squares; right is a sub-40nm design where a cell-based fill approach is used to address a number of non-CMP manufacturing issues

Another challenge for metal fill is controlling the turn-around-time of the fill process. The time required for fill has been increasing due to both growing design sizes, and the complexity of today’s filling process. At 20nm, the types and extent of fill analysis continue to increase. DRC density checks are still the standard, but they have moved beyond min and max densities. At 20nm, density analysis is extended with density gradient (differences between adjacent windows). In addition, designers also need to achieve other constraints, such as perimeter and uniformity of fill spanning multiple layers.

Meeting DRC constraints is a priority, but designers must also ensure that timing constraints are met. Advanced-node ICs require a balancing act between achieving density constraint and the amount of capacitance added to the design.

Finally, the addition of double patterning at 20nm adds a new dimension to fill. For drawn layers, double patterning improves printability. For fill layers, double patterning reduces manufacturing variability by balancing the light emitted through the mask and moderating the impact of etch on the design. Metal fill, like all the shapes in the layout, must be colored and decomposed into two masks.


Fill requirements for 20nm and below have expanded to require coloring as part of the fill solution for mask balancing

As a result of this increasing complexity, designers can no longer use a simple “dummy fill” algorithm that uniformly fills in all white space with a single polygon shape at the end of the design. Fill must be added and accounted for (through extraction and timing analysis) as the design is built up, so designers now need a fill approach that can live within the constraints of an Engineering Change Order (ECO) flow. Because the latest designs require billions of fill shapes, keeping the fill information separate is a common practice to keep from slowing down the response of design tools. As a result, minimizing the impact on fill of a simple ECO change impact is no longer a trivial challenge.

Original page contents provided by Mentor Graphics


Multimedia

Increasing Levels Of Risk


Related Entities