Knowledge Center
Navigation
Knowledge Center

Metrology

Metrology is the science of measuring and characterizing tiny structures and materials.
popularity

Description

Metrology is the science of measuring and characterizing tiny structures and materials, and there are a number of tools and technologies within this category.

1. Atomic force microscopy. This technology uses a tiny probe to enable measurements in chip structures.

2. CD-SEM. The critical-dimension scanning electron microscope (CD-SEM) is the workhorse metrology tool in the fab. It is used for top-down measurements. It uses a focused beam of electrons to generate signals at the surface of a structure. CD-SEM is a key metrology tool for planar transistors, but at one time, these systems could only do limited work for finFETs. The tool could measure the fin widths, but it could not image the fin height and the sidewall angle.

In finFETs, a given metrology tool must make 12 or more different measurements, such as the gate height, fin height and sidewall angle. Each of those parts also requires one or more separate measurements. The CD-SEM suppliers, however, have recently fought back by adding tilt-beam capabilities to the tool. With tilt, the tool can measure the fin widths, fin heights and the sidewall angles in finFETs.

Some vendors have added other new capabilities into the CD-SEM, such as backscattering. A back-scattered electron detector, or BSE, is integrated into a CD-SEM as a means to capture backscattered electrons. This, in turn, enables the CD-SEM to determine the composition or surface topography of a structure.

3. CD-SAXS. This is a next-generation, X-ray scattering metrology technology. It measures the average shape of periodic nanostructures, their edge roughness, and pitch walking. The technology is still in R&D. The primary limitation is having a sufficient bright X-ray source to make the measurements.

4. Hybrid metrology. Ultimately, there is no one tool that can handle all metrology needs for finFETs. So for some time, chipmakers have been talking about a technology called hybrid metrology. In this approach, chipmakers use a mix-and-match of several different tool technologies and then combine the data from each. In one example, a finFET structure is measured by both the CD-SEM and an AFM. Then, the results are fed into an OCD tool to validate the model.

Hybrid metrology, though, is still in the early stages of development. The challenge is to put rival tools in the same flow and tell competitors to collaborate.

5. Model-based infrared reflectometry (MBIR). In model-based infrared reflectometry, infrared light is reflected off a sample. Then, the reflectance intensity is analyzed versus a wavelength with a model of the sample structure. MBIR is used to image film stacks in 3D NAND and DRAM.

6. Scatterometry. This is a popular form of optical critical dimension (OCD) metrology. Scatterometry analyzes changes in the intensity of light in a device. It is used for both memory and logic. The knock on OCD is that chipmakers must develop complex and time-consuming models. One way to obtain reference data is to cut the wafer and do a cross section of the device using a TEM. This can be an expensive process. In response, the industry has developed a technique that can predict the reference data from a TEM. This can reduce the cost and time-to-market for OCD.

7. Spectroscopic ellipsometry. This is a form of optical critical dimension (OCD) metrology. Spectroscopic ellipsometry looks at the properties of thin-film structures in logic and memory chips. Ellipsometry is a non-destructive, optical technique.

8. Transmission electron microscope. A TEM transmits a beam through a structure. TEMs are often found in the lab and not in the production line.