Knowledge Center
Navigation
Knowledge Center

Wafer Inspection

The science of finding defects on a silicon wafer.
popularity

Description

Wafer inspection, the science of finding defects on a wafer, is becoming more challenging and costly at each node. This is due to process shrinks, design complexities and new materials. In addition, the ability to detect sub-30nm defects is challenging with today’s optical inspection tools.

The idea is to find a defect of interest on a wafer. In the inspection process, a wafer inspection tool takes a photo of a die. Then, the tool takes a photo of another die and compares them. If there’s a change, that’s generally a defect.

From there, the complexities mount. In one example of the challenges, the inspection tool may find defects on a wafer. The system may also detect what is commonly called a nuisance. In simple terms, a nuisance is an irregularity or false defect on the wafer, but is not a defect of interest.

In the past, a tool could process the information and delineate the difference between the defects and nuisances on a map. But in more advanced nodes, the nuisances and defects appear to be bunched together on the map. And it’s becoming more difficult to distinguish the differences between the two.

In a fab, chipmakers generally make use of several different types of wafer inspection tool technologies:

1. Brightfield inspection. Using 193nm light sources, brightfield inspection is the workhorse technology in the fab. Used to find defects during the transistor fabrication process, brightfield collects light reflected from a defect. In turn, the defect appears dark against a white background.

In general, optical-based inspection can easily find defects down to 30nm. The grey area for optical is somewhere between 20nm to 10nm, but the technology is being stretched to the limit below 10nm, according to experts.

2. Darkfield inspection. Used in the production flow, darkfield is the measurement of light reflected at a lower angle. Darkfield is based on optical technology.

3. Electron-beam inspection. In the flow, chipmakers first use e-beam inspection, mainly for engineering analysis. E-beam is able to find the smallest defects in chips. The resolutions are down to 3nm. But the throughputs are too slow to put these tools into the production flow.

4. Multi-beam e-beam inspection. To boost the throughputs in e-beam inspection, the industry is working on a technology that makes use of multiple beams. Still in R&D, the first tools could appear in late 2015 or early 2016. Even then, multi-beam inspection won’t replace traditional optical and e-beam tools.

There are similarities and differences between multi-beam inspection and multi-beam for direct-write lithography applications. In both cases, multi-beam technology is challenging. The electrons in the columns tend to disturb each other, which impact the performance of a system.

In multi-beam inspection, there are several types of approaches-multiple beams; multiple columns and multiple-objective lens; multiple columns and single-objective lens; and miniature columns. On top of that, a given tool could have a range of beam counts.